Part Number Hot Search : 
A7303 TDA20 200CT AC513 BXMF1023 A7303 P20N60 BD6025GU
Product Description
Full Text Search
 

To Download EP3C120F484C7 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  101 innovation drive san jose, ca 95134 www.altera.com ciii5v1-4.2 volume 1 cyclone iii device handbook document last updated for alte ra complete design suite version: document publication date: 12.0 august 2012 cyclone iii device handbook volume 1
? 2012 altera corporation. all rights reserved. altera, arria, cy clone, hardcopy, max, megacore , nios, quartus and stratix word s and logos are trademarks of alte ra corporation and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specificat ions in accordance with altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no respon sibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customers are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. august 2012 altera corporation cyclone iii device handbook volume 1 iso 9001:2008 registered
august 2012 altera corporation cyclone iii device handbook volume 1 contents chapter revision dates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ix section i. device core chapter 1. cyclone iii device family overview cyclone iii device family features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?1 lowest power fpgas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?1 design security feature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?2 increased system integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?2 cyclone iii device family architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?6 logic elements and logic array blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?6 memory blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?7 embedded multipliers and digital signal processing support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?7 clock networks and plls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?8 i/o features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?8 high-speed differential interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?8 auto-calibrating external memory interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?9 support for industry-standard embedded processors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 ?9 hot socketing and power-on-reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?9 seu mitigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?10 jtag boundary scan testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?10 quartus ii software support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?10 configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?11 remote system upgrades . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?11 design security (cyclone iii ls devices only) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?11 reference and ordering information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?12 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1?13 chapter 2. logic elements and logic array blocks in the cyclone iii device family logic elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?1 le features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?2 le operating modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?3 normal mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?3 arithmetic mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?4 logic array blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?4 topology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?4 lab interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?5 lab control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?6 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2?7 chapter 3. memory blocks in the cyclone iii device family overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?1 control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?3 parity bit support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?3 byte enable support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?4 packed mode support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?5 address clock enable support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?5 mixed-width support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?6
iv contents cyclone iii device handbook august 2012 altera corporation volume 1 asynchronous clear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?7 memory modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?7 single-port mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?8 simple dual-port mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?9 true dual-port mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?11 shift register mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?12 rom mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?13 fifo buffer mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?13 clocking modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?14 independent clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?14 i/o clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?14 read or write clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?15 single-clock mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?15 design considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?15 read-during-write operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?15 same-port read-during-write mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?16 mixed-port read-during-write mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?16 conflict resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?17 power-up conditions and memory initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?18 power management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?18 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3?18 chapter 4. embedded multipliers in the cyclone iii device family embedded multiplier block overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?2 architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?3 input registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?4 multiplier stage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?4 output registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?5 operational modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?5 18-bit multipliers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?6 9-bit multipliers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?6 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4?8 chapter 5. clock networks and plls in the cyclone iii device family clock networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?1 gclk network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?1 clock control block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?4 gclk network clock source generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?6 gclk network power down . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?7 clkena signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?8 plls in the cyclone iii device family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?9 cyclone iii device family pll hardware overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?10 external clock outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?10 clock feedback modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?11 source-synchronous mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?12 no compensation mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?13 normal mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?13 zero delay buffer mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?14 hardware features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?15 clock multiplication and division . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?15 post-scale counter cascading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?16 programmable duty cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?16 pll control signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?17
contents v august 2012 altera corporation cyclone iii device handbook volume 1 pfdena . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?17 areset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?17 locked . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?17 clock switchover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?18 automatic clock switchover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?18 manual override . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?20 manual clock switchover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?21 guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?21 programmable bandwidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?22 phase shift implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?22 pll cascading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?24 pll reconfiguration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?26 pll reconfiguration ha rdware implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?26 post-scale counters (c0 to c4) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?28 scan chain description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?29 charge pump and loop filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?30 bypassing pll counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?31 dynamic phase shifting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?31 spread-spectrum clocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?33 pll specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?33 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5?34 section ii. i/o interfaces chapter 6. i/o features in the cyclone iii device family cyclone iii device family i/o elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?1 i/o element features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?2 programmable current strength . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?2 slew rate control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?4 open-drain output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?4 bus hold . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?4 programmable pull-up resistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?5 programmable delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?5 pci-clamp diode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?6 lvds transmitter programmable pre-emphasis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?6 oct support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?7 on-chip series termination with calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?8 on-chip series termination without calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?10 i/o standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?11 termination scheme for i/o standards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?13 voltage-referenced i/o standard termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?14 differential i/o standard termin ation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?15 i/o banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?16 high-speed differential interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?20 external memory interfacing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?20 pad placement and dc guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?21 pad placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?21 dc guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?21 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6?21
vi contents cyclone iii device handbook august 2012 altera corporation volume 1 chapter 7. high-speed differential interf aces in the cyclone iii device family high-speed i/o interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?1 high-speed i/o standards support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?7 lvds i/o standard support in the cyclone iii device family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?7 designing with lvds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?8 blvds i/o standard support in the cyclone i ii device family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?8 designing with blvds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?9 rsds, mini-lvds, and ppds i/o standard support in the cyclone iii device family . . . . . . . . . 7?10 designing with rsds, mini-lvds, and ppds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?1 0 lvpecl i/o support in the cyclone iii device family . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?12 differential sstl i/o standard support in the cyclone iii device family . . . . . . . . . . . . . . . . . . . 7?13 differential hstl i/o standard support in the cyclone iii device family . . . . . . . . . . . . . . . . . . . 7?14 true output buffer feature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?15 programmable pre-emphasis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?15 high-speed i/o timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?16 design guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?17 differential pad placement guidel ines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?17 board design considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?17 software overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?18 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7?19 chapter 8. external memory interface s in the cyclone iii device family cyclone iii device family memory interfaces pin support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?2 data and data clock/strobe pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?2 optional parity, dm, and error correction coding pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?1 0 address and control/command pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?10 memory clock pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?10 cyclone iii device family memory interfaces features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?11 ddr input registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?11 ddr output registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?12 oct . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?13 pll . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?13 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8?14 section iii. system integration chapter 9. configuration, design se curity, and remote system upgr ades in the cyclone iii device family configuration features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?2 configuration data decompression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?3 configuration requirement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?5 por circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?5 configuration file size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?7 configuration and jtag pin i/o requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9? 7 configuration process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?8 power up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?8 reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?8 configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?9 configuration error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?9 initialization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?10 user mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?10 configuration scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?11 as configuration (serial configuration devices) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?12
contents vii august 2012 altera corporation cyclone iii device handbook volume 1 single-device as configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?13 multi-device as configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?14 configuring multiple cyclone iii device family with the same design . . . . . . . . . . . . . . . . . . . 9?16 guidelines for connecting serial configuration device to cyclone iii device family on as interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?20 programming serial config uration devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?21 ap configuration (supported flash memories ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?23 ap configuration supported flash memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9? 24 single-device ap configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?25 multi-device ap configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?27 byte-wide multi-device ap configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?28 word-wide multi-device ap configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9? 29 guidelines for connecting parallel flash to cyclone iii devices for the ap interface . . . . . . . . 9?30 configuring with multiple bus masters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?30 estimating the ap configuration time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?32 programming parallel flash memories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?33 ps configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?34 ps configuration using an external host . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?35 ps configuration timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?38 ps configuration using a download cable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?40 fpp configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?42 fpp configuration using an external host . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?43 fpp configuration timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?47 jtag configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?48 configuring cyclone iii device family wi th jam stapl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?55 configuring cyclone iii device family with the jrunner software driver . . . . . . . . . . . . . . . . . 9?56 combining jtag and as configuration schemes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?56 programming serial config uration devices in-system using the jtag interface . . . . . . . . . . . 9?58 jtag instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?60 changing the start boot address of the ap flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9? 64 device configuration pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?64 design security . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?70 cyclone iii ls design security protection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?70 security against copying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?71 security against reverse engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?71 security against tampering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?71 aes decryption block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?71 key storage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?71 cyclone iii ls design security solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?72 available security modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?73 volatile key . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?73 no key operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?74 factory mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?74 remote system upgrade . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?74 functional description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?75 enabling remote update . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?76 configuration image types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?77 remote system upgrade mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?77 remote update mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?77 dedicated remote system upgrade circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?80 remote system upgrade registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?81 remote system upgrade state machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?84 user watchdog timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?85 quartus ii software support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?86
viii contents cyclone iii device handbook august 2012 altera corporation volume 1 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9?86 chapter 10. hot-socketi ng and power-on reset in th e cyclone iii device family hot-socketing specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?1 devices driven before power-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?2 i/o pins remain tristated during power-up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?2 hot-socketing feature implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?3 por circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?3 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10?4 chapter 11. seu mitigation in the cyclone iii device family error detection fundamentals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?1 configuration error detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?2 user mode error detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?2 automated seu detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?3 crc_error pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?3 error detection block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?4 error detection registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?4 error detection timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?5 software support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?7 accessing error detection block through user logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1?8 recovering from crc errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?10 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11?10 chapter 12. ieee 1149.1 (jtag) boundary-scan testing for the cyclone iii device family ieee std. 1149.1 bst architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?1 ieee std. 1149.1 bst operation control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?2 i/o voltage support in a jtag chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?5 guidelines for ieee std. 1149.1 bst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?6 boundary-scan description language support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?7 document revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12?7 additional information how to contact altera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . info?1 typographic conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . info?1
august 2012 altera corporation cyclone iii device handbook volume 1 chapter revision dates the chapters in this document, cyclone ii i device handbook, were revised on the following dates. where chapters or groups of chapters are available separately, part numbers are listed. chapter 1. cyclone iii device family overview revised: july 2012 part number: ciii51001-2.4 chapter 2. logic elements and logic array blocks in the cyclone iii device family revised: december 2011 part number: ciii51002-2.3 chapter 3. memory blocks in the cyclone iii device family revised: december 2011 part number: ciii51004-2.3 chapter 4. embedded multipliers in the cyclone iii device family revised: december 2011 part number: ciii51005-2.3 chapter 5. clock networks and plls in the cyclone iii device family revised: july 2012 part number: ciii51006-4.1 chapter 6. i/o features in the cyclone iii device family revised: july 2012 part number: ciii51007-3.4 chapter 7. high-speed differential interf aces in the cyclone iii device family revised: december 2011 part number: ciii51008-4.0 chapter 8. external memory interfaces in the cyclone iii device family revised: july 2012 part number: ciii51009-3.1 chapter 9. configuration, design security, and re mote system upgrades in the cyclone iii de- vice family revised: august 2012 part number: ciii51016-2.2 chapter 10. hot-socketing and power-on re set in the cyclone iii device family revised: july 2012 part number: ciii51011-3.4 chapter 11. seu mitigation in the cyclone iii device family revised: december 2011 part number: ciii51013-2.3
x chapter revision dates cyclone iii device handbook august 2012 altera corporation volume 1 chapter 12. ieee 1149.1 (jtag) boundary-scan testing for the cyclone iii device family revised: december 2011 part number: ciii51014-2.3
august 2012 altera corporation cyclone iii device handbook volume 1 section i. device core this section provides a complete overview of all features relating to the cyclone ? iii device family. this section includes the following chapters: chapter 1, cyclone iii device family overview chapter 2, logic elements and logic a rray blocks in the cyclone iii device family chapter 3, memory blocks in the cyclone iii device family chapter 4, embedded multipliers in the cyclone iii device family chapter 5, clock networks and plls in the cyclone iii device family f for information about the revision history for chapters in this section, refer to ?document revision history? in each individual chapter.
i?2 section i: device core cyclone iii device handbook august 2012 altera corporation volume 1
ciii51001-2.4 ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 july 2012 subscribe iso 9001:2008 registered 1. cyclone iii device family overview cyclone ? iii device family offers a unique combination of high functionality, low power and low cost. based on taiwan semiconductor manufacturing company (tsmc) low-power (lp) process technology, silicon optimizations and software features to minimize power consumption, cy clone iii device family provides the ideal solution for your high-volume, low-power, and cost-sensitive applications. to address the unique design needs, cyclone iii device family offers the following two variants: cyclone iii?lowest power, high functionality with the lowest cost cyclone iii ls?lowest power fpgas with security with densities ranging from about 5,00 0 to 200,000 logic elements (les) and 0.5 megabits (mb) to 8 mb of memory fo r less than ? watt of static power consumption, cyclone iii device family makes it easier for you to meet your power budget. cyclone iii ls devices are the first to implement a suite of security features at the silicon, software, and intellectual property (ip) level on a low-power and high-functionality fpga platform. this suite of security features protects the ip from tampering, reverse engineer ing and cloning. in addition, cyclone iii ls devices support design separation which enables you to introduce redundancy in a single chip to reduce size, weight, and power of your application. this chapter contains the following sections: ?cyclone iii device family features? on page 1?1 ?cyclone iii device family architecture? on page 1?6 ?reference and ordering information? on page 1?12 cyclone iii device family features cyclone iii device family of fers the following features: lowest power fpgas lowest power consumption with tsmc low-power process technology and altera ? power-aware design flow low-power operation offers the following benefits: extended battery life for portab le and handheld applications reduced or eliminated cooling system costs operation in thermally-challenged environments hot-socketing operation support july 2012 ciii51001-2.4
1?2 chapter 1: cyclone iii device family overview cyclone iii device family features cyclone iii device handbook july 2012 altera corporation volume 1 design security feature cyclone iii ls devices offer the fo llowing design security features: configuration security using advanced en cryption standard (aes) with 256-bit volatile key routing architecture optimized for desi gn separation flow with the quartus ? ii software design separation flow achieves both physical and functional isolation between design partitions ability to disable external jtag port error detection (ed) cy cle indicator to core provides a pass or fail indicator at every ed cycle provides visibility over intentional or unintentional change of configuration random access memory (cram) bits ability to perform zeroization to clear contents of the fpga logic, cram, embedded memory, and aes key internal oscillator enables system monitor and health check capabilities increased system integration high memory-to-logic and multiplier-to-logic ratio high i/o count, low-and mid-range density devices for user i/o constrained applications adjustable i/o slew rates to improve signal integrity supports i/o standards such as lvttl , lvcmos, sstl, hstl, pci, pci-x, lvpecl, bus lvds (blvds), lvds, mini-lvds, rsds, and ppds supports the multi-value on-chip termin ation (oct) calibration feature to eliminate variations over process, voltage, and temperature (pvt) four phase-locked loops (plls) per devi ce provide robust clock management and synthesis for device clock management, external system clock management, and i/o interfaces five outputs per pll cascadable to save i/os, ease pcb routing, and reduce jitter dynamically reconfigurable to change ph ase shift, frequency multiplication or division, or both, and input frequency in the system without reconfiguring the device remote system upgrade without th e aid of an external controller dedicated cyclical redundancy code checke r circuitry to detect single-event upset (seu) issues nios ? ii embedded processor for cyclone iii device family, offering low cost and custom-fit embedded pr ocessing solutions
chapter 1: cyclone iii device family overview 1?3 cyclone iii device family features july 2012 altera corporation cyclone iii device handbook volume 1 wide collection of pre-built and verifi ed ip cores from altera and altera megafunction partners program (ampp) partners supports high-speed external memory interfaces such as ddr, ddr2, sdr sdram, and qdrii sram auto-calibrating phy feature eases the timing closure process and eliminates variations with pvt for ddr, ddr2, and qdrii sram interfaces cyclone iii device family supports vertical migration that allows you to migrate your device to other devices with the same de dicated pins, configur ation pins, and power pins for a given package-across device densit ies. this allows you to optimize device density and cost as your design evolves. table 1?1 lists cyclone iii devi ce family features. table 1?1. cyclone iii device family features family device logic elements number of m9k blocks total ram bits 18 x 18 multipliers plls global clock networks maximum user i/os cyclone iii ep3c5 5,136 46 423,936 23 2 10 182 ep3c10 10,320 46 423,936 23 2 10 182 ep3c16 15,408 56 516,096 56 4 20 346 ep3c25 24,624 66 608,256 66 4 20 215 ep3c40 39,600 126 1,161,216 126 4 20 535 ep3c55 55,856 260 2,396,160 156 4 20 377 ep3c80 81,264 305 2,810,880 244 4 20 429 ep3c120 119,088 432 3,981,312 288 4 20 531 cyclone iii ls ep3cls70 70,208 333 3,068,928 200 4 20 429 ep3cls100 100,448 483 4,451,328 276 4 20 429 ep3cls150 150,848 666 6,137,856 320 4 20 429 ep3cls200 198,464 891 8,211,456 396 4 20 429
1?4 chapter 1: cyclone iii device family overview cyclone iii device family features cyclone iii device handbook july 2012 altera corporation volume 1 table 1?2 lists cyclone iii device family package options, i/o pins, and differential channel counts. table 1?2. cyclone iii device family package options, i/o pin and differential channel counts (1) , (2) , (3) , (4) , (5) family package e144 (7) m164 p240 f256 u256 f324 f484 u484 f780 cyclone iii (8) ep3c5 94, 22 106, 28 ? 182, 68 182, 68 ? ? ? ? ep3c10 94, 22 106, 28 ? 182, 68 182, 68 ? ? ? ? ep3c16 84, 19 92, 23 160, 47 168, 55 168, 55 ? 346, 140 346, 140 ? ep3c25 82, 18 ? 148, 43 156, 54 156, 54 215, 83 ? ? ? ep3c40 ? ? 128, 26 ? ? 195, 61 331, 127 331, 127 535, 227 (6) ep3c55 ? ? ? ? ? ? 327, 135 327, 135 377, 163 ep3c80 ? ? ? ? ? ? 295, 113 295, 113 429, 181 ep3c120 ? ? ? ? ? ? 283, 106 ? 531, 233 cyclone iii ls ep3cls70 ? ? ? ? ? ? 294, 113 294, 113 429, 181 ep3cls100 ? ? ? ? ? ? 294, 113 294, 113 429, 181 ep3cls150 ? ? ? ? ? ? 226, 87 ? 429, 181 ep3cls200 ? ? ? ? ? ? 226, 87 ? 429, 181 notes to table 1?2 : (1) for each device package, the fi rst number indicates the number of the i/o pi n; the second number in dicates the differential channel count. (2) for more information about device packag ing specifications, refe r to the cyclone iii package and thermal resistance webpage. (3) the i/o pin numbers are the maximum i/o counts (including clock input pins) suppor ted by the device pack age combination and can be affected by the configuration schem e selected for the device. (4) all packages are available in lead-free and leaded options. (5) vertical migration is no t supported between cyclone iii and cyclone iii ls devices. (6) the ep3c40 device in the f780 package su pports restricted vertical mi gration. maximum user i/os are restricted to 510 i/os i f you enable migration to the ep3c120 and are using volt age referenced i/o standards. if you are not using voltage referenced i/o standards, you can increase the maximum number of i/os. (7) the e144 package has an exposed pad at the bottom of the package. this exposed pad is a ground pad that must be connected to the ground plane on your pcb. use this exposed pad for el ectrical connectivity and not for thermal purposes. (8) all cyclone iii device ubga packages are supported by the qu artus ii software version 7.1 sp1 and later, with the exception of the ubga packages of ep3c16, which are supported by the quartus ii software version 7.2.
chapter 1: cyclone iii device family overview 1?5 cyclone iii device family features july 2012 altera corporation cyclone iii device handbook volume 1 table 1?3 lists cyclone iii device family package sizes. table 1?4 lists cyclone iii device family speed grades. table 1?3. cyclone iii device family package sizes family package pitch (mm) nominal area (mm 2 ) length x width (mm ? mm) height (mm) cyclone iii e144 0.5 484 22 ? 22 1.60 m164 0.5 64 8 ? 8 1.40 p240 0.5 1197 34.6 ? 34.6 4.10 f256 1.0 289 17 ? 17 1.55 u256 0.8 196 14 ? 14 2.20 f324 1.0 361 19 ? 19 2.20 f484 1.0 529 23 ?? 23 2.60 u484 0.8 361 19 ? 19 2.20 f780 1.0 841 29 ? 29 2.60 cyclone iii ls f484 1.0 529 23 ? 23 2.60 u484 0.8 361 19 ? 19 2.20 f780 1.0 841 29 ? 29 2.60 table 1?4. cyclone iii device family speed grades (part 1 of 2) family device e144 m164 p240 f256 u256 f324 f484 u484 f780 cyclone iii ep3c5 c7, c8, i7, a7 c7, c8, i7 ? c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 ???? ep3c10 c7, c8, i7, a7 c7, c8, i7 ? c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 ???? ep3c16 c7, c8, i7, a7 c7, c8, i7 c8 c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 ? c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 ? ep3c25 c7, c8, i7, a7 ?c8 c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 ??? ep3c40 ? ? c8 ? ? c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 c6, c7, c8, i7, a7 c6, c7, c8, i7 ep3c55 ? ? ? ? ? ? c6, c7, c8, i7 c6, c7, c8, i7 c6, c7, c8, i7 ep3c80 ? ? ? ? ? ? c6, c7, c8, i7 c6, c7, c8, i7 c6, c7, c8, i7 ep3c120 ? ? ? ? ? ? c7, c8, i7 ? c7, c8, i7
1?6 chapter 1: cyclone iii device family overview cyclone iii device family architecture cyclone iii device handbook july 2012 altera corporation volume 1 table 1?5 lists cyclone iii device fa mily configuration schemes. cyclone iii device family architecture cyclone iii device family includ es a customer-defined feature set that is optimized for portable applications and offers a wide range of density, memory, embedded multiplier, and i/o options. cyclone iii de vice family supports numerous external memory interfaces and i/o protocols that are common in high-volume applications. the quartus ii software features and paramete rizable ip cores make it easier for you to use the cyclone iii device family interfaces and protocols. the following sections provide an overview of the cyclone iii devi ce family features. logic elements and logic array blocks the logic array block (lab) consists of 16 logic elements and a lab-wide control block. an le is the smallest unit of logic in the cyclone iii device family architecture. each le has four inputs, a four-input look-up table (lut), a register, and output logic. the four-input lut is a function generator that can implement any function with four variables. f for more information about les and labs, refer to the logic elements and logic array blocks in the cyclone iii device family chapter. cyclone iii ls ep3cls70 ? ? ? ? ? ? c7, c8, i7 c7, c8, i7 c7, c8, i7 ep3cls100 ? ? ? ? ? ? c7, c8, i7 c7, c8, i7 c7, c8, i7 ep3cls150 ? ? ? ? ? ? c7, c8, i7 ? c7, c8, i7 ep3cls200 ? ? ? ? ? ? c7, c8, i7 ? c7, c8, i7 table 1?4. cyclone iii device family speed grades (part 2 of 2) family device e144 m164 p240 f256 u256 f324 f484 u484 f780 table 1?5. cyclone iii device family configuration schemes configuration scheme cyclone iii cyclone iii ls active serial (as) v v active parallel (ap) v ? passive serial (ps) v v fast passive parallel (fpp) v v joint test action group (jtag) v v
chapter 1: cyclone iii device family overview 1?7 cyclone iii device family architecture july 2012 altera corporation cyclone iii device handbook volume 1 memory blocks each m9k memory block of the cyclone iii device family provides nine kbits of on-chip memory capable of operating at up to 315 mhz for cyclone iii devices and up to 274 mhz for cyclone iii ls devices. the embedded memory structure consists of m9k memory blocks columns that you can conf igure as ram, first- in first-out (fifo) buffers, or rom. the cyclone iii device family memory blocks are optimized for applications such as high throughout packet processing, embedded processor program, and embedded data storage. the quartus ii software allows you to take advantage of the m9k memory blocks by instantiating memory using a dedicated mega function wizard or by inferring memory directly from the vhdl or verilog source code. m9k memory blocks support single-port, simple dual-port, and true dual-port operation modes. single-port mode and simple dual-port mode are supported for all port widths with a configuration of 1, 2, 4, 8, 9, 16, 18, 32, and 36. true dual-port is supported in port widths with a configuration of 1, 2, 4, 8, 9, 16, and 18. f for more information about memory blocks, refer to the memory blocks in the cyclone iii device family chapter. embedded multipliers and digi tal signal processing support cyclone iii devices support up to 288 embedd ed multiplier blocks and cyclone iii ls devices support up to 396 embedded mult iplier blocks. each block supports one individual 18 18-bit multiplier or two individual 9 9-bit multipliers. the quartus ii software includes megafunction s that are used to control the operation mode of the embedded multiplier blocks based on user parameter settings. multipliers can also be inferred directly from the vhdl or verilog source code. in addition to embedded multipliers, cyclone iii device family includes a combination of on-chip resources and external interf aces, making them ideal for increasing performance, reducing system cost, and lo wering the power consumption of digital signal processing (dsp) systems. you can use cyclone iii device family alone or as dsp device co-processors to improve price-to-performance ratios of dsp systems. the cyclone iii device family dsp system design support includes the following features: dsp ip cores: common dsp processing functions such as finite impulse response (fir), fast fourier transform (fft), and numerically controlled oscillator (nco) functions suites of common video and image processing functions complete reference designs for end-market applications dsp builder interface tool between the quartus ii software and the mathworks simulink and matlab design environments dsp development kits f for more information about embedded multipliers and digital signal processing support, refer to the embedded multipliers in cyclone iii devices chapter.
1?8 chapter 1: cyclone iii device family overview cyclone iii device family architecture cyclone iii device handbook july 2012 altera corporation volume 1 clock networks and plls cyclone iii device family includes 20 global clock networks. you can drive global clock signals from dedicated clock pins, du al-purpose clock pins, user logic, and plls. cyclone iii device family includes up to four plls with five outputs per pll to provide robust clock manageme nt and synthesis. you can use plls for device clock management, external system cloc k management, and i/o interfaces. you can dynamically reconfigure the cyclon e iii device family plls to enable auto-calibration of external memory interfaces while the device is in operation. this feature enables the support of multiple in put source frequencies and corresponding multiplication, division, and phase shift requirements. plls in cyclone iii device family may be cascaded to generate up to ten internal clocks and two external clocks on output pins from a sin gle external clock source. f for more pll specifications and information, refer to the cyclone iii device data sheet , cyclone iii ls device data sheet , and clock networks and plls in the cyclone iii device family chapters. i/o features cyclone iii device family has eight i/o ba nks. all i/o banks support single-ended and differential i/o standards listed in table 1?6 . the cyclone iii device family i/o also supports programmable bus hold, programmable pull-up resistors, programma ble delay, programmable drive strength, programmable slew-rate control to optimize signal integrity, and hot socketing. cyclone iii device family supports cali brated on-chip series termination (r s oct) or driver impedance matching (rs) for sing le-ended i/o standards, with one oct calibration block per side. f for more information, refer to the i/o features in the cyclone iii device family chapter. high-speed differential interfaces cyclone iii device family suppo rts high-speed differential interfaces such as blvds, lvds, mini-lvds, rsds, and ppds. these hi gh-speed i/o standards in cyclone iii device family provide high data throughput using a relatively small number of i/o pins and are ideal for low-cost applications . dedicated differential output drivers on the left and right i/o banks can send data rates at up to 875 mbps for cyclone iii devices and up to 740 mbps for cyclone iii ls devices, without the need for external resistors. this saves board space or simplifies pcb routing. top and bottom i/o banks support differential transmission (with the addition of an external resistor network) data rates at up to 640 mbps for both cyclone iii and cyclone iii ls devices. table 1?6. cyclone iii device family i/o standards support type i/o standard single-ended i/o lvttl, lvcmos, sstl, hstl, pci, and pci-x differential i/o sstl, hstl, lvpecl, bl vds, lvds, mini-lvds, rsds, and ppds
chapter 1: cyclone iii device family overview 1?9 cyclone iii device family architecture july 2012 altera corporation cyclone iii device handbook volume 1 f for more information, refer to the high-speed differential in terfaces in the cyclone iii device family chapter. auto-calibrating external memory interfaces cyclone iii device family supports common memory types such as ddr, ddr2, sdr sdram, and qdrii sram. ddr2 sdram memory interfaces support data rates up to 400 mbps for cyclone iii device s and 333 mbps for cyclone iii ls devices. memory interfaces are supported on all si des of cyclone iii device family. cyclone iii device family has the oct, ddr output re gisters, and 8-to-36-bit programmable dq group widths features to enable rapid and robust implementa tion of different memory standards. an auto-calibrating megafunction is availa ble in the quartus ii software for ddr and qdr memory interface phys. this megafuncti on is optimized to take advantage of the cyclone iii device family i/o structure, simplify timing closure requirements, and take advantage of the cyclone iii device family pll dynamic reconfiguration feature to calibrate pvt changes. f for more information, refer to the external memory interfaces in the cyclone iii device family chapter. support for industry-sta ndard embedded processors to quickly and easily create system-level designs using cyclone iii device family, you can select among the 32-bit so ft processor cores: freescale ? v1 coldfire, arm ? cortex m1, or altera nios ? ii, along with a library of 50 other ip blocks when using the system-on-a-programmable-ch ip (sopc) builder tool. sopc builder is an altera quartus ii design tool that facilitates syst em-integration of ip blocks in an fpga design. the sopc builder automatically generates interconnect logic and creates a testbench to verify functionality, saving valuable design time. cyclone iii device family expands the periph eral set, memory, i/o, or performance of legacy embedded processors. single or multiple nios ii embedded processors are designed into cyclone iii device family to provide additional co-processing power, or even replace legacy embedded processors in your system. using the cyclone iii device family and nios ii together provide low-cost, high-performance embedded processing solutions, which in turn allow you to extend the life cycle of your product and improve time-to-market over standard product solutions. 1 separate licensing of the freescale and arm embedded processors are required. hot socketing and power-on-reset cyclone iii device family fe atures hot socketing (also kn own as hot plug-in or hot swap) and power sequencing support without the use of external devices. you can insert or remove a board populated with one or more cyclone iii device family during a system operation without causing undesirable effects to the running system bus or the board that was inserted into the system.
1?10 chapter 1: cyclone iii device family overview cyclone iii device family architecture cyclone iii device handbook july 2012 altera corporation volume 1 the hot socketing feature allows you to use fpgas on pcbs that also contain a mixture of 3.3-v, 2.5-v, 1.8-v, 1.5-v, and 1.2-v devices. the cyclone iii device family hot socketing feature eliminates power-up sequence requirements for other devices on the board for proper fpga operation. f for more information about hot socketing and power-on-reset, refer to the hot-socketing and power-on reset in the cyclone iii device family chapter. seu mitigation cyclone iii ls devices offer built-in error dete ction circuitry to detect data corruption due to soft errors in the cram cells. this feature allows cram contents to be read and verified to match a configuration-comp uted crc value. the quartus ii software activates the built-in 32-bit crc checker, wh ich is part of the cyclone iii ls device. f for more information about seu mitigation, refer to the seu mitigation in the cyclone iii device family chapter. jtag boundary scan testing cyclone iii device family su pports the jtag ieee std. 1149.1 specification. the boundary-scan test (bst) architecture offers the capability to test pin connections without using physical test probes and capt ures functional data while a device is operating normally. boundary-scan cells in the cyclone iii device family can force signals onto pins or capture data from pins or from logic array signals. forced test data is serially shifted into the boundary-scan cells. captur ed data is serially shifted out and externally compared to expected resu lts. in addition to bst, you can use the ieee std. 1149.1 controller for the cyclon e iii ls device in-circuit reconfiguration (icr). f for more information about jtag boundary scan testing, refer to the ieee 1149.1 (jtag) boundary-scan testing fo r the cyclone iii device family chapter. quartus ii software support the quartus ii software is the leading design software for performance and productivity. it is the only complete design solution for cplds, fpgas, and asics in the industry. the quartus ii software includes an integrated development environment to accelerate system-level desi gn and seamless integration with leading third-party software tools and flows. the cyclone iii ls devices provide both phys ical and functional separation between security critical design partitions. cycl one iii ls devices offer isolation between design partitions. this ensures that device errors do not propagate from one partition to another, whether unintentional or intentional. the quartus ii software design separation flow facilitates the creation of separation regions in cyclone iii ls devices by tightly controlling the routing in and between the logiclock regions. for ease of use, the separation flow integrates in the existing incremental compilation flow. f for more information about the quartus ii software features, refer to the quartus ii handbook .
chapter 1: cyclone iii device family overview 1?11 cyclone iii device family architecture july 2012 altera corporation cyclone iii device handbook volume 1 configuration cyclone iii device family us es sram cells to store conf iguration data. configuration data is downloaded to cyclone iii device family each time the device powers up. low-cost configuration options include the al tera epcs family seri al flash devices as well as commodity parallel flash configuration options. these options provide the flexibility for general-purpose applicatio ns and the ability to meet specific configuration and wake-up time requirements of the applications. cyclone iii device family supports the as, ps, fpp, and jtag configuration schemes. the ap configuration scheme is only su pported in cyclone iii devices. f for more information about configuration, refer to the configuration, design security, and remote system upgrades in the cyclone iii device family chapter. remote system upgrades cyclone iii device family offers remote system upgrade without an external controller. the remote system upgrade capabi lity in cyclone iii device family allows system upgrades from a remote location. soft logic (either the nios ii embedded processor or user logic) implemented in cyclone iii device family can download a new configuration image from a remote location, store it in configuration memory, and direct the dedicated remote system up grade circuitry to start a reconfiguration cycle. the dedicated circui try performs error detection during and after the configuration process, and can recover from an error condition by reverting to a safe configuration image. the dedicated circuitry also provides error status information. cyclone iii devices support re mote system upgrade in the as and ap configuration scheme. cyclone iii ls devices support remote system upgrade in the as configuration scheme only. f for more information, refer to the configuration, design secu rity, and remote system upgrades in the cyclone iii device family chapter. design security (cyclone iii ls devices only) cyclone iii ls devices offer design security fe atures which play a vital role in the large and critical designs in the competitive military and commercial environments. equipped with the configuration bit stream encryption and anti-tamper features, cyclone iii ls devices protect your design s from copying, reverse engineering and tampering. the configuration security of cy clone iii ls devices uses aes with 256-bit security key. f for more information, refer to the configuration, design secu rity, and remote system upgrades in cyclone iii device family chapter.
1?12 chapter 1: cyclone iii device family overview reference and ordering information cyclone iii device handbook july 2012 altera corporation volume 1 reference and ordering information figure 1?1 and figure 1?2 show the ordering codes for cyclone iii and cyclone iii ls devices. figure 1?1. cyclone iii device packaging ordering information family signature package type package code operating temperature speed grade optional suffix indicates specific device options or shipment method ep3c : cyclone iii 5 : 5,136 logic elements 10 : 10,320 logic elements 16 : 15,408 logic elements 25 : 24,624 logic elements 25e : 24,624 logic elements 40 : 39,600 logic elements 55 : 55,856 logic elements 80 : 81,264 logic elements 120 : 119,088 logic elements e : plastic enhanced quad flat pack (eqfp) q : plastic quad flat pack (pqfp) f : fineline ball-grid array (fbga) u : ultra fineline ball-grid array (ubga) m : micro fineline ball-grid array (mbga) 144 : 144 pins 164 : 164 pins 240 : 240 pins 256 : 256 pins 324 : 324 pins 484 : 484 pins 780 : 780 pins c : commercial temperature (t j = 0 c to 85 c) i : industrial temperature (t j = -40 c to 100 c) a : automotive temperature (t j = -40 c to 125 c) 6 (fastest) 7 8 n : lead-free packaging es : engineering sample ep3c 25 f 324 c 7 n member code figure 1?2. cyclone iii ls device packaging ordering information family signature package type package code operating temperature speed grade optional suffix indicates specific device options or shipment method ep3cls : cyclone iii ls 70 : 70,208 logic elements 100 : 100,448 logic elements 150 : 150,848 logic elements 200 : 198,464 logic elements f : fineline ball-grid array (fbga) u : ultra fineline ball-grid array (ubga) 484 : 484 pins 780 : 780 pins c : commercial temperature (t j = 0 c to 85 c) i : industrial temperature (t j = -40 c to 100 c) 7 (fastest) 8 n : lead-free packaging es : engineering sample ep3cls 70 f 484 c 7 n member code
chapter 1: cyclone iii device family overview 1?13 document revision history july 2012 altera corporation cyclone iii device handbook volume 1 document revision history table 1?7 lists the revision history for this document. table 1?7. document revision history date version changes july 2012 2.4 updated 484 pin package code in figure 1?1 . december 2011 2.3 updated table 1?1 and table 1?2. updated figure 1?1 and figure 1?2. updated hyperlinks. minor text edits. december 2009 2.2 minor text edits. july 2009 2.1 minor edit to the hyperlinks. june 2009 2.0 added table 1?5. updated table 1?1, table 1?2, table 1?3, and table 1?4. updated ?introduction?, ?cyclone iii device family architecture?, ?embedded multipliers and digital signal processing support ?, ?clo ck networks and plls ?, ?i/o features ?, ?high-speed differential interfaces ?, ?auto- calibrating external memory interfaces ?, ?quartus ii software support?, ?configuration ?, and ?design security (cyclone iii ls devices only)?. removed ?referenced document? section. october 2008 1.3 updated ?increased system integration? section. updated ?memory blocks? section. updated chapter to new template. may 2008 1.2 added 164-pin micro fineline ball-grid array (mbga) details to table 1?2, table 1?3 and table 1?4. updated figure 1?2 with automotive temperature information. updated ?increased system integration? section, table 1?6, and ?high-speed differential interfaces? section with blvds information. july 2007 1.1 removed the text ?spansion? in ?increased system. integration? and ?configuration? sections. removed trademark symbol from ?multitrack? in ?multitrack interconnect?. removed registered trademark symbol from ?simulink? and ?matlab? from ?embedded multipliers and digital. signal processing support? section. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release.
1?14 chapter 1: cyclone iii device family overview document revision history cyclone iii device handbook july 2012 altera corporation volume 1
ciii51002-2.3 ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 december 2011 subscribe iso 9001:2008 registered 2. logic elements and logic array blocks in the cyclone iii device family this chapter contains feature definitions for logic elements (les) and logic array blocks (labs). details are provided on ho w les work, how labs contain groups of les, and how labs interface with the other blocks in the cyclone ? iii device family (cyclone iii and cyclone iii ls devices). logic elements logic elements (les) are the smallest units of logic in the cyclone iii device family architecture. les are compact and provide advanced features with efficient logic usage. each le has the following features: a four-input look-up table (lut), whic h can implement any function of four variables a programmable register a carry chain connection a register chain connection the ability to drive the following interconnects: local row column register chain direct link register packing support register feedback support december 2011 ciii51002-2.3
2?2 chapter 2: logic elements and logic arra y blocks in the cyclone iii device family logic elements cyclone iii device handbook december 2011 altera corporation volume 1 figure 2?1 shows the les for the cyclone iii device family. le features you can configure the programmable register of each le for d, t, jk, or sr flipflop operation. each register has data, clock, clock enable, and clear inputs. signals that use the global clock network, general-purpose i/o pins, or any internal logic can drive the clock and clear control signals of the register. either general-purpose i/o pins or the internal logic can drive the clock enable. for combinational functions, the lut output bypasses the register and dr ives directly to the le outputs. each le has three outputs that drive the local, row, and column routing resources. the lut or register output independently driv es these three outputs. two le outputs drive the column or row and direct link routing connections, while one le drives the local interconnect resources. this allows the lut to drive one output while the register drives another output. this feature, called register packing, improves device utilization because the device can use the register and the lut for unrelated functions. the lab-wide synchronous load co ntrol signal is not available when using register packing. for more information on the synchronous load control signal, refer to ?lab control signals? on page 2?6 . the register feedback mode allows the register output to feed back into the lut of the same le to ensure that the register is pa cked with its own fan-out lut, providing another mechanism for improved fitting. the le can also drive out registered and unregistered versions of the lut output. figure 2?1. cyclone iii device family les row, column, and direct link routing data 1 data 2 data 3 data 4 labclr1 labclr2 chip-wide reset (dev_clrn) labclk1 labclk2 labclkena1 labclkena2 le carry-in lab-wide synchronous load lab-wide synchronous clear row, column, and direct link routing local routing register chain output register bypass programmable register register chain routing from previous le le carry-out register feedback synchronous load and clear logic carry chain look-up table (lut) asynchronous clear logic clock & clock enable select d q ena clrn
chapter 2: logic elements and logic array bl ocks in the cyclone iii device family 2?3 le operating modes december 2011 altera corporation cyclone iii device handbook volume 1 in addition to the three general routing outputs, les in a lab have register chain outputs, which allows registers in the sa me lab to cascade together. the register chain output allows the luts to be used for combinational functions and the registers to be used for an unrelated shift register implementation. these resources speed up connections between labs while saving local interconnect resources. le operating modes cyclone iii device family les operate in the following modes: normal mode arithmetic mode le operating modes use le resources differently. in each mode, there are six available inputs to the le. these inputs include the four data inputs from the lab local interconnect, the le carry-in from the previous le carry-chain, and the register chain connection. each input is directed to different destinations to implement the desired logic function. lab-wide signals provide clock, asynchronous clear, synchronous clear, synchronous load, and clock enable control for the register. these lab-wide signals are available in all le modes. the quartus ? ii software automatically chooses the appropriate mode for common functions, such as counters, adders, subt ractors, and arithmetic functions, in conjunction with parameterized functions such as the library of parameterized modules (lpm) functions. you can also crea te special-purpose functions that specify which le operating mode to use for optimal performance, if required. normal mode normal mode is suitable for general logic applications and combinational functions. in normal mode, four data inputs from th e lab local interconnect are inputs to a four-input lut ( figure 2?2 ). the quartus ii compiler automatically selects the carry-in ( cin ) or the data3 signal as one of the inputs to the lut. les in normal mode support packed registers and register feedback. figure 2?2 shows les in normal mode. figure 2?2. cyclone iii device family les in normal mode data1 fo u r-inp u t lut data2 data3 cin (from co u t of pre v io u s le) data4 clock (lab w ide) ena (lab w ide) aclr (lab w ide) clr n d q e n a sclear (lab w ide) sload (lab w ide) register chain connection register chain o u tp u t ro w , col u mn, and direct link ro u ting ro w , col u mn, and direct link ro u ting local ro u ting register bypass packed register input register feedback
2?4 chapter 2: logic elements and logic arra y blocks in the cyclone iii device family logic array blocks cyclone iii device handbook december 2011 altera corporation volume 1 arithmetic mode arithmetic mode is ideal for implementing adders, counters, accumulators, and comparators. an le in arithmetic mode im plements a 2-bit full adder and basic carry chain ( figure 2?3 ). les in arithmetic mode can drive out registered and unregistered versions of the lut output. register feed back and register packing are supported when les are used in arithmetic mode. figure 2?3 shows les in arithmetic mode. the quartus ii compiler automatically cr eates carry chain lo gic during design processing. you can also manually create th e carry chain logic during design entry. parameterized functions, such as lpm func tions, automatically take advantage of carry chains for the appropriate functions. the quartus ii compiler creates carry chai ns longer than 16 les by automatically linking labs in the same column. for enha nced fitting, a long carry chain runs vertically, which allows fast horizontal connections to m9k memory blocks or embedded multipliers through direct link inte rconnects. for example, if a design has a long carry chain in a lab column next to a column of m9k memory blocks, any le output can feed an adjacent m9k memory bl ock through the direct link interconnect. if the carry chains run horizontally, any la b which is not next to the column of m9k memory blocks uses other row or column interconnects to drive a m9k memory block. a carry chain continues as far as a full column. logic array blocks logic array blocks (labs) contain groups of les. topology each lab consists of the following features: 16 les figure 2?3. cyclone iii device family les in arithmetic mode clock (lab w ide) ena (lab w ide) aclr (lab w ide) clr n d q e n a sclear (lab w ide) sload (lab w ide) register chain o u tp u t ro w , col u mn, and direct link ro u ting ro w , col u mn, and direct link ro u ting local ro u ting register feedback three-inp u t lut three-inp u t lut cin (from co u t of pre v io u s le) data2 data1 co u t register bypass data4 data3 register chain connection packed register input
chapter 2: logic elements and logic array bl ocks in the cyclone iii device family 2?5 logic array blocks december 2011 altera corporation cyclone iii device handbook volume 1 lab control signals le carry chains register chains local interconnect the local interconnect transfers signals between les in the same lab. register chain connections transfer the output of one le register to the adjacent le register in a lab. the quartus ii compiler places associated lo gic in a lab or adjacent labs, allowing the use of local and register chain connect ions for performance and area efficiency. figure 2?4 shows the lab structure for the cyclone iii device family. lab interconnects the lab local interconnect is driven by column and row interconnects and le outputs in the same lab. neighboring labs, phase-locked loops (plls), m9k ram blocks, and embedded multipliers from the left and right can also drive the local interconnect of a lab through the direct li nk connection. the direct link connection feature minimizes the use of row and column interconnects, providing higher performance and flexibility. each le can drive up to 48 les through fast local and direct link interconnects. figure 2?4. cyclone iii device family lab structure direct link interconnect from adjacent block direct link interconnect to adjacent block row interconnect column interconnect local interconnect lab direct link interconnect from adjacent block direct link interconnect to adjacent block
2?6 chapter 2: logic elements and logic arra y blocks in the cyclone iii device family lab control signals cyclone iii device handbook december 2011 altera corporation volume 1 figure 2?5 shows the direct link connection. lab control signals each lab contains dedicated logic for driving control signals to its les. the control signals include: two clocks two clock enables two asynchronous clears one synchronous clear one synchronous load you can use up to eight control signals at a time. register packing and synchronous load cannot be used simultaneously. each lab can have up to four non-global control signals. you can use additional lab control signals as long as they are global signals. synchronous clear and load signals are usef ul for implementing counters and other functions. the synchronous clear and synchronous load signals are lab-wide signals that affect all registers in the lab. each lab can use two clocks and two clock en able signals. the clock and clock enable signals of each lab are linked. for example, any le in a particular lab using the labclk1 signal also uses the labclkena1 . if the lab uses both the rising and falling edges of a clock, it also uses both lab-wide clock signals. deasserting the clock enable signal turns off the lab-wide clock. the lab row clocks [5..0] and lab local interconnect generate the lab-wide control signals. the multitrack interconne ct inherent low skew allows clock and control signal distribution in addition to data distribution. figure 2?5. cyclone iii device family direct link connection lab direct link interconnect to right direct link interconnect from right lab, m9k memory block, embedded multiplier, pll, or ioe output direct link interconnect from left lab, m9k memory block, embedded multiplier, pll, or ioe output local interconnect direct link interconnect to left
chapter 2: logic elements and logic array bl ocks in the cyclone iii device family 2?7 document revision history december 2011 altera corporation cyclone iii device handbook volume 1 figure 2?6 shows the lab control signal generation circuit. lab-wide signals control the logic for the clea r signal of the register. the le directly supports an asynchronous clear function. ea ch lab supports up to two asynchronous clear signals ( labclr1 and labclr2 ). a lab-wide asynchronous load signal to control the logic for the preset signal of the register is not available. the register pres et is achieved with a not gate push-back technique. the cyclone iii device fami ly only supports either a preset or asynchronous clear signal . in addition to the clear port, the cyclone i ii device family provides a chip-wide reset pin ( dev_clrn ) that resets all registers in the devi ce. an option set before compilation in the quartus ii software controls this pi n. this chip-wide reset overrides all other control signals. document revision history table 2?1 lists the revision history for this document. figure 2?6. cyclone iii device family lab-wide control signals la b clkena1 la b clk2 la b clk1 la b clkena2 la b clr1 dedicated lab ro w clocks local interconnect local interconnect local interconnect local interconnect syncload synclr la b clr2 6 table 2?1. document revision history (part 1 of 2) date version changes december 2011 2.3 minor text edits. december 2009 2.2 minor changes to the text. july 2009 2.1 minor edit to the hyperlinks. june 2009 2.0 updated to include cyclone iii ls information updated chapter part number. updated ?introduction? on page 2?1. updated figure 2?1 on page 2?2 and figure 2?4 on page 2?5. updated ?lab control signals? on page 2?6. october 2008 1.2 updated chapter to new template.
2?8 chapter 2: logic elements and logic arra y blocks in the cyclone iii device family document revision history cyclone iii device handbook december 2011 altera corporation volume 1 july 2007 1.1 removed trademark symbol from ?multitrack? in ?lab control signals? section. march 2007 1.0 initial release. table 2?1. document revision history (part 2 of 2) date version changes
ciii51004-2.3 ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 december 2011 subscribe iso 9001:2008 registered 3. memory blocks in the cyclone iii device family the cyclone ? iii device family (cyclone iii and cyclone iii ls devices) features embedded memory structures to address the on-chip memory needs of altera ? cyclone iii device family designs. the embedded memory structure consists of columns of m9k memory blocks that you can configure to provide various memory functions, such as ram, shift registers, rom, and fifo buffers. this chapter contains the following sections: ?memory modes? on page 3?7 ?clocking modes? on page 3?14 ?design considerations? on page 3?15 overview m9k blocks support the following features: 8,192 memory bits per block (9,216 bits per block including parity) independent read-enable ( rden ) and write-enable ( wren ) signals for each port packed mode in which the m9k memory block is split into two 4.5 k single-port rams variable port configurations single-port and simple dual-port modes support for all port widths true dual-port (one read and one write, two reads, or two writes) operation byte enables for data input masking during writes two clock-enable control signals for each port (port a and port b) initialization file to pre-load memory content in ram and rom modes december 2011 ciii51004-2.3
3?2 chapter 3: memory blocks in the cyclone iii device family overview cyclone iii device handbook december 2011 altera corporation volume 1 table 3?1 lists the features supported by the m9k memory f for information about the number of m9k memory blocks for the cyclone iii device family, refer to the cyclone iii device family overview chapter. table 3?1. summary of m9k memory features feature m9k blocks configurations (depth width) 8192 1 4096 2 2048 4 1024 8 1024 9 512 16 512 18 256 32 256 36 parity bits v byte enable v packed mode v address clock enable v single-port mode v simple dual-port mode v true dual-port mode v embedded shift register mode (1) v rom mode v fifo buffer (1) v simple dual-port mixed width support v true dual-port mixed width support (2) v memory initialization file ( .mif ) v mixed-clock mode v power-up condition outputs cleared register asynchronous clears read addre ss registers and output registers only latch asynchronous clears output latches only write or read operation triggering write and read: rising clock edges same-port read-during-write outputs set to old data or new data mixed-port read-during-write outputs set to old data or don?t care notes to table 3?1 : (1) fifo buffers and embedded shift regi sters that require external logic elem ents (les) for impl ementing control logic. (2) width modes of 32 and 36 are not available.
chapter 3: memory blocks in the cyclone iii device family 3?3 overview december 2011 altera corporation cyclone iii device handbook volume 1 control signals the clock-enable control signal controls the clock entering the input and output registers and the entire m9k memory block. th is signal disables the clock so that the m9k memory block does not see any clock edges and does not perform any operations. the rden and wren control signals control the read an d write operations for each port of m9k memory blocks . you can disable the rden or wren signals independently to save power whenever the operation is not required. figure 3?1 shows how the register clock, clear, and control signals are implemented in the cyclone iii device fa mily m9k memory block. parity bit support parity checking for error detection is possible with the parity bit along with internal logic resources. the cyclone iii device fa mily m9k memory blocks support a parity bit for each storage byte. you can use this bit as either a parity bit or as an additional data bit. no parity function is actually performed on this bit. figure 3?1. m9k control signal selection clock_ b clocken_a clock_a clocken_ b aclr_ b aclr_a dedicated ro w lab clocks rden_ b rden_a 6 local interconnect b yteena_ b b yteena_a addressstall_ b addressstall_a w ren_a w ren_ b
3?4 chapter 3: memory blocks in the cyclone iii device family overview cyclone iii device handbook december 2011 altera corporation volume 1 byte enable support the cyclone iii device family m9k memory blocks support byte enables that mask the input data so that only specific bytes of data are written. the unwritten bytes retain the previous written value. the wren signals, along with the byte-enable ( byteena ) signals, control the write operations of the ram block. the default value of the byteena signals is high (enabled), in which case writing is controlled only by the wren signals. there is no clear port to the byteena registers. m9k blocks support byte enables when the write port has a data width of 16, 18, 32, or 36 bits. byte enables operate in one-hot manner, with the lsb of the byteena signal corresponding to the least significant by te of the data bus. for example, if byteena = 01 and you are using a ram block in 18 mode, data[8..0] is enabled and data[17..9] is disabled. similarly, if byteena = 11 , both data[8..0] and data[17..9] are enabled. byte enables are active high. table 3?2 lists the byte selection. figure 3?2 shows how the wren and byteena signals control the ram operations. table 3?2. byteena for cyclone iii device family m9k blocks (1) byteena[3..0] affected bytes datain 16 datain 18 datain 32 datain 36 [0] = 1 [7..0] [8..0] [7..0] [8..0] [1] = 1 [15..8] [17..9] [15..8] [17..9] [2] = 1 ? ? [23..16] [26..18] [3] = 1 ? ? [31..24] [35..27] note to table 3?2 : (1) any combination of by te enables is possible. figure 3?2. cyclone iii device family byteena functional waveform (1) inclock w ren address data q (asynch) an xxxx a0 a1 a2 a0 a1 a2 do u tn abff ffcd abcd abff ffcd abcd b yteena xx 10 01 11 xxxx xx abcd abcd ffff ffff ffff abff ffcd contents at a0 contents at a1 contents at a2 rden
chapter 3: memory blocks in the cyclone iii device family 3?5 overview december 2011 altera corporation cyclone iii device handbook volume 1 when a byteena bit is deasserted during a write cycle, the old data in the memory appears in the corresponding data-byte output. when a byteena bit is asserted during a write cycle, the corresponding data-byte output depends on the setting chosen in the quartus ? ii software. the setting can either be the newly written data or the old data at that location. packed mode support cyclone iii device family m9k memory blocks suppo rt packed mode. you can implement two single-port memory blocks in a single block under the following conditions: each of the two independent block sizes is less than or equal to half of the m9k block size. the maximum data width for each independent block is 18 bits wide. each of the single-port memory blocks is configured in single-clock mode. for more information about packed mode support, refer to ?single-port mode? on page 3?8 and ?single-clock mode? on page 3?15 . address clock enable support cyclone iii device family m9 k memory blocks support an active-low address clock enable, which holds the previous address value for as long as the addressstall signal is high ( addressstall = '1' ). when you configure m9k memory blocks in dual-port mode, each port has its own independent address clock enable. figure 3?3 shows an address clock enable block diagram. the addres s register output feeds back to its input using a multiplexer. the multiplexer output is selected by the address clock enable ( addressstall ) signal. note to figure 3?2 : (1) for this functional waveform, new data mode is selected. figure 3?2. cyclone iii device family byteena functional waveform (1) figure 3?3. cyclone iii device family address clock enable block diagram address[0] address[n] addressstall clock address[0] register address[n] register address[n] address[0]
3?6 chapter 3: memory blocks in the cyclone iii device family overview cyclone iii device handbook december 2011 altera corporation volume 1 the address clock enable is typically used to improve the effectiveness of cache memory applications during a cache-miss . the default value for the address clock enable signals is low. figure 3?4 and figure 3?5 show the address clock enable waveform during read and write cycles, respectively. mixed-width support m9k memory blocks support mixed data widt hs. when using simple dual-port, true dual-port, or fifo modes, mixed width support allows you to read and write different data widths to an m9k memory block. for more information about the different widths supported per memory mode, refer to ?memory modes? on page 3?7 . figure 3?4. cyclone iii device family address clock enable during read cycle waveform figure 3?5. cyclone iii device family address clock enable during write cycle waveform inclock rden rdaddress q (synch) a0 a1 a2 a3 a4 a5 a6 q (asynch) an a0 a4 a5 latched address (inside memory) do u t0 do u t1 do u t1 do u t4 do u t1 do u t4 do u t5 addressstall a1 do u tn-1 do u t1 do u tn do u tn do u t1 do u t0 do u t1 inclock w ren w raddress a0 a1 a2 a3 a4 a5 a6 an a0 a4 a5 latched address (inside memory) addressstall a1 data 00 01 02 03 04 05 06 contents at a0 contents at a1 contents at a2 contents at a3 contents at a4 contents at a5 xx 04 xx 00 03 01 xx 02 xx xx xx 05
chapter 3: memory blocks in the cyclone iii device family 3?7 memory modes december 2011 altera corporation cyclone iii device handbook volume 1 asynchronous clear the cyclone iii device family supports asynch ronous clears for read address registers, output registers, and output latches only . input registers other than read address registers are not supported. when applied to output registers, the asynchronous clear signal clears the output registers and the effects are immediately seen. if your ram does not use output registers, you can st ill clear the ram outputs using the output latch asynchronous clear feature. 1 asserting asynchronous clear to the read address register during a read operation might corrupt the memory content. figure 3?6 shows the functional waveform for the asynchronous clear feature. 1 you can selectively enable asynchronous clears per logical memory using the quartus ii ram megawizard ? plug-in manager. f for more information, refer to the internal memory (ram and rom) user guide . there are three ways to reset registers in the m9k blocks: power up the device use the aclr signal for output register only assert the device-wide reset signal using the dev_clrn option memory modes cyclone iii device fami ly m9k memory blocks allow you to implement fully-synchronous sram memory in multiple modes of operation. cyclone iii device family m9k memory blocks do not support asynchronous (unregistered) memory inputs. m9k memory blocks support the following modes: single-port simple dual-port true dual-port shift-register rom fifo figure 3?6. output latch asynchronous clear waveform aclr aclr at latch clk q a1 a0 a1 a2
3?8 chapter 3: memory blocks in the cyclone iii device family memory modes cyclone iii device handbook december 2011 altera corporation volume 1 1 violating the setup or hold time on th e m9k memory block input registers may corrupt memory contents. this applies to both read and write operations. single-port mode single-port mode supports non-simultaneous read and write operations from a single address. figure 3?7 shows the single-port memory configuration for cyclone iii device family m9k memory blocks. during a write operation, the behavior of the ram outputs is configurable. if you activate rden during a write operation, the ram outputs show either the new data being written or the old data at that addr ess. if you perform a write operation with rden deactivated, the ram outputs retain the va lues they held during the most recent active rden signal. to choose the desired behavior, set the read-during-write option to either new data or old data in the ram megawizard plug-in manager in the quartus ii software. for more information about read-during-write mode, refer to ?read-during-write operations? on page 3?15 . the port width configurations for m9k bloc ks in single-port mode are as follow: 8192 1 4096 2 2048 4 1024 8 1024 9 512 16 512 18 256 32 256 36 figure 3?7. single-port memory (1) , (2) notes to figure 3?7 : (1) you can implement two si ngle-port memory blocks in a single m9k block. (2) for more information, refer to ?packed mode support? on page 3?5 . data[ ] address[ ] wren byteena[] addressstall inclock inclocken rden aclr outclock q [] outclocken
chapter 3: memory blocks in the cyclone iii device family 3?9 memory modes december 2011 altera corporation cyclone iii device handbook volume 1 figure 3?8 shows timing waveforms for read and write operations in single-port mode with unregistered outputs. registerin g the outputs of the ram simply delays the q output by one clock cycle. simple dual-port mode simple dual-port mode support s simultaneous read and write operations to different locations. figure 3?9 shows the simple dual-port memory configuration. cyclone iii device family m9k memory blocks support mixed-width configurations, allowing different read and write port widths. table 3?3 lists mixed-width configurations. figure 3?8. cyclone iii device family single-port mode timing waveforms clk_a wren_a address_a data_a rden_a q _a (old data) a0 a1 ab c d ef a0(old data) a1(old data) ab d e q _a (new data) ad bc e f figure 3?9. cyclone iii device family simple dual-port memory (1) note to figure 3?9 : (1) simple dual-port ram supports input or output clock mode in addition to the read or write clock mode shown. data[ ] wraddress[ ] wren byteena[] wr_addressstall wrclock wrclocken aclr rdaddress[ ] rden q [ ] rd_addressstall rdclock rdclocken table 3?3. cyclone iii device family m9k block mixed-width configurations (simple dual-port mode) (part 1 of 2) read port write port 8192 1 4096 2 2048 4 1024 8 512 16 256 32 1024 9 512 18 256 36 8192 1 vvvvvv ??? 4096 2 vvvvvv ??? 2048 4 vvvvvv ??? 1024 8 vvvvvv ???
3?10 chapter 3: memory blocks in the cyclone iii device family memory modes cyclone iii device handbook december 2011 altera corporation volume 1 in simple dual-port mode, m9k me mory blocks support separate wren and rden signals. you can save power by keeping the rden signal low (inactive) when not reading. read-during-write operations to th e same address can either output ?don?t care? data at that location or output ?old data?. to choose the desired behavior, set the read-during-write option to either don?t care or old data in the ram megawizard plug-in manager in the quartus ii software. for more information about this behavior, refer to ?read-during-write operations? on page 3?15 . figure 3?10 shows the timing waveforms for read and write operations in simple dual-port mode with unregistered output s. registering the outputs of the ram simply delays the q output by one clock cycle. 512 16 vvvvvv ??? 256 32 vvvvvv ??? 1024 9 ? ? ? ? ? ? vvv 51218?????? vvv 25636?????? vvv table 3?3. cyclone iii device family m9k block mixed-width configurations (simple dual-port mode) (part 2 of 2) read port write port 8192 1 4096 2 2048 4 1024 8 512 16 256 32 1024 9 512 18 256 36 figure 3?10. cyclone iii device family simple dual-port timing waveforms w rclock w ren w raddress rdclock an-1 an a0 a1 a2 a3 a4 a5 a6 q (asynch) rden rdaddress b n b 0 b 1 b 2 b 3 do u tn-1 do u tn do u t0 din-1 din din4 din5 din6 data
chapter 3: memory blocks in the cyclone iii device family 3?11 memory modes december 2011 altera corporation cyclone iii device handbook volume 1 true dual-port mode true dual-port mode supports any combinat ion of two-port operations: two reads, two writes, or one read and one write, at two different clock frequencies. figure 3?11 shows the cyclone iii device family tr ue dual-port memory configuration. 1 the widest bit configuration of the m9k blocks in true dual-port mode is 512 16-bit (18-bit with parity). table 3?4 lists the possible m9k block mi xed-port width configurations. in true dual-port mode, m9k me mory blocks support separate wren and rden signals. you can save power by keeping the rden signal low (inactive) when not reading. read-during-write operations to the same address can either output ?new data? at that location or ?old data?. to choose the desired behavior, set the read-during- write option to either new data or old data in the ram megawizard plug-in manager in the quartus ii software. for more information about this behavior, refer to ?read-during-write operations? on page 3?15 . figure 3?11. cyclone iii device family true dual-port memory (1) note to figure 3?11 : (1) true dual-port memory supports input or output clock mode in addition to the independent clock mode shown. data_a[ ] address_a[ ] wren_a byteena_a[] addressstall_a clock_a clocken_a rden_a aclr_a q _a[] data_b[ ] address_b[] wren_b byteena_b[] addressstall_b clock_b clocken_b rden_b aclr_b q _b[] table 3?4. cyclone iii device family m9k block mixed-width configurations (true dual-port mode) read port write port 8192 1 4096 2 2048 4 1024 8 512 16 1024 9 512 18 8192 1 vvvvv ?? 4096 2 vvvvv ?? 2048 4 vvvvv ?? 1024 8 vvvvv ?? 512 16 vvvvv ?? 1024 9? ? ? ? ? vv 512 18 ? ? ? ? ? vv
3?12 chapter 3: memory blocks in the cyclone iii device family memory modes cyclone iii device handbook december 2011 altera corporation volume 1 in true dual-port mode, you can access any memory location at any time from either port a or port b. however, when accessing the same memory location from both ports, you must avoid possible write conflicts. when you attempt to write to the same address location from both ports at the sa me time, a write conflict happens. this results in unknown data being stored to that address location. there is no conflict resolution circuitry built into the cyclon e iii device family m9k memory blocks. you must handle address conflicts external to the ram block. figure 3?12 shows true dual-port timing waveform s for the write operation at port a and read operation at port b. registering the outputs of the ram simply delays the q outputs by one clock cycle. shift register mode cyclone iii device family m9k memory blocks can implement shift registers for digital signal processing (dsp) applications, such as finite impulse response (fir) filters, pseudo-random number genera tors, multi-channel filtering, and auto-correlation and cross-correlation func tions. these and othe r dsp applications require local data storage, traditionally implemented with standard flipflops that quickly exhaust many logic cells for large shift registers. a more efficient alternative is to use embedded memory as a shift register block, which saves logic cell and routing resources. the size of a ( w mn ) shift register is determined by the input data width ( w ), the length of the taps ( m) , and the number of taps ( n ), and must be less than or equal to the maximum number of memory bits, which is 9,216 bits. in addition, the size of ( wn ) must be less than or equal to the maxi mum width of the block, which is 36 bits. if you need a larger shift register, you can cascade the m9k memory blocks. figure 3?12. cyclone iii device family true dual-port timing waveforms clk_a w ren_a address_a clk_ b an-1 an a0 a1 a2 a3 a4 a5 a6 q_ b (asynch) w ren_ b address_ b b n b 0 b 1 b 2 b 3 do u tn-1 do u tn do u t0 q_a (asynch) din-1 din din4 din5 din6 data_a din-1 din do u t0 do u t1 do u t2 do u t3 din4 din5 do u t2 do u t1 rden_a rden_ b
chapter 3: memory blocks in the cyclone iii device family 3?13 memory modes december 2011 altera corporation cyclone iii device handbook volume 1 figure 3?13 shows the cyclone iii device fami ly m9k memory block in the shift register mode. rom mode cyclone iii device family m9k memo ry blocks support rom mode. a .mif initializes the rom contents of these blocks. the address lines of the rom are registered. the outputs can be registered or unregistered. the rom read operation is identical to the read operation in the single-port ram configuration. fifo buffer mode cyclone iii device family m9 k memory blocks support si ngle-clock or dual-clock fifo buffers. dual clock fifo buffers are useful when transferring data from one clock domain to another clock domain. cycl one iii device family m9k memory blocks do not support simultaneous read and write from an empty fifo buffer. f for more information about fifo buffers, refer to the scfifo and dcfifo megafunctions user guide. figure 3?13. cyclone iii device family shift register mode configuration w w m n shift register m-bit shift register m-bit shift register m-bit shift register m-bit shift register w w w w w w w n number of taps
3?14 chapter 3: memory blocks in the cyclone iii device family clocking modes cyclone iii device handbook december 2011 altera corporation volume 1 clocking modes cyclone iii device family m9k memory blocks support the following clocking modes: independent input or output read or write single-clock when using read or write clock mode, if you perform a simultaneous read or write to the same address location, the output read data is unknown. if you require the output data to be a known value, use either single-clock mode or i/o clock mode and choose the appropriate read-during-write behavi or in the megawizard plug-in manager. 1 violating the setup or hold time on the memory block input registers might corrupt the memory contents. this applies to both read and write operations. 1 asynchronous clears are available on read address registers, output registers, and output latches only. table 3?5 lists the clocking mode versus memory mode support matrix. independent clock mode cyclone iii device family m9k memory blocks can implement independent clock mode for true dual-port memories. in this mo de, a separate clock is available for each port (port a and port b). clock a controls all registers on the port a side, while clock b controls all registers on the port b side . each port also supports independent clock enables for port a and b registers. i/o clock mode cyclone iii device family m9 k memory blocks can implement input or output clock mode for fifo, single-port, true, and simple dual-port memories. in this mode, an input clock controls all input registers to the memory block, including data, address, byteena , wren , and rden registers. an output clock controls the data-output registers. each memory block port also supports independent clock enables for input and output registers. table 3?5. cyclone iii device family memory clock modes clocking mode true dual-port mode simple dual-port mode single-port mode rom mode fifo mode independent v ?? v ? input or output vvvv ? read or write ? v ?? v single-clock vvvvv
chapter 3: memory blocks in the cyclone iii device family 3?15 design considerations december 2011 altera corporation cyclone iii device handbook volume 1 read or write clock mode cyclone iii device family m9k memory blocks can implement read or write clock mode for fifo and simple dual-port memori es. in this mode, a write clock controls the data inputs, write address, and wren registers. similarly, a read clock controls the data outputs, read address, and rden registers. m9k memory blocks support independent clock enables for both the read and write clocks. when using read or write mode, if you perform a simultaneous read or write to the same address location, the output read data is unknown. if you require the output data to be a known value, use either single-clock mode, input clock mode, or output clock mode and choose the appropriate read-during-write behavior in the megawizard plug-in manager. single-clock mode cyclone iii device family m9 k memory blocks can implement single-clock mode for fifo, rom, true dual-port, simple dual-por t, and single-port memories. in this mode, you can control all registers of the m9k memory block with a single clock together with clock enable. design considerations this section describes designing with m9k memory blocks. read-during-write operations ?same-port read-during-write mode? on page 3?16 and ?mixed-port read-during- write mode? on page 3?16 describe the functionality of the various ram configurations when reading from an addres s during a write operation at that same address. there are two read-during-write data flows: same-port and mixed-port. figure 3?14 shows the difference between these flows. figure 3?14. cyclone iii device family read-during-write data flow port a data in port b data in port a data out port b data out mixed-port data flow same-port data flow write_a read_a read_b write_b
3?16 chapter 3: memory blocks in the cyclone iii device family design considerations cyclone iii device handbook december 2011 altera corporation volume 1 same-port read-during-write mode this mode applies to a single-port ram or the same port of a true dual-port ram. in the same port read-during-write mode, there are two output choices: new data mode (or flow-through) and old data mode. in new data mode, new data is available on the rising edge of the same clock cycle on which it was written. in old data mode, the ram outputs reflect the old data at that address before the write operation proceeds. when using new data mode together with byteena , you can control the output of the ram. when byteena is high, the data written into the memory passes to the output (flow-through). when byteena is low, the masked-off data is not written into the memory and the old data in the memory appears on the outputs. therefore, the output can be a combination of new and old data determined by byteena . figure 3?15 and figure 3?16 show sample functional waveforms of same port read-during-write behavior with both new data and old data modes, respectively. mixed-port read-during-write mode this mode applies to a ram in simple or true dual-port mode, which has one port reading and the other port writing to the sa me address location with the same clock. figure 3?15. same port read-during write: new data mode figure 3?16. same port read-during-write: old data mode clk_a wren_a address_a data_a rden_a q _a (asynch) a0 a1 ab c d ef ab c d e f clk_a wren_a address_a data_a rden_a q _a (asynch) a0 a1 ab c d ef a0(old data) a1(old data) ab d e
chapter 3: memory blocks in the cyclone iii device family 3?17 design considerations december 2011 altera corporation cyclone iii device handbook volume 1 in this mode, you also have two output choices: old data mode or don't care mode. in old data mode, a read-during-write operation to different ports causes the ram outputs to reflect the old data at that address location. in don't care mode, the same operation results in a ?don't care? or unknown value on the ram outputs. f for more information about how to implement the desired behavior, refer to the internal memory (ram and rom) user guide . figure 3?17 shows a sample functional waveform of mixed port read-during-write behavior for the old data mode. in don't care mode, the old data is replaced with ?don't care?. 1 for mixed-port read-during-write operation with dual clocks, the relationship between the clocks determines the output behavior of the memory. if you use the same clock for the two clocks, the output is the old data from the address location. however, if you use different clocks, the output is unknown du ring the mixed-port read-during-write operation. this unknown value may be the old or new data at the address location, depending on whether the read happens before or after the write. conflict resolution when you are using m9k memory blocks in true dual-port mode, it is possible to attempt two write operations to the same memory location (address). because there is no conflict resolution circuitry built into m9k memory blocks, this results in unknown data being written to that location. theref ore, you must implement conflict-resolution logic external to the m9k memory block. figure 3?17. mixed port read-during-write: old data mode ab a (old data) b (old data) clk_a&b wren_a address_a q _b (asynch) rden_b ab address_b data_a ab c d ef a b de
3?18 chapter 3: memory blocks in the cyclone iii device family document revision history cyclone iii device handbook december 2011 altera corporation volume 1 power-up conditions and memory initialization the m9k memory block outputs of the cycl one iii device family power up to zero (cleared) regardless of whether the output registers are used or bypassed. all m9k memory blocks support initialization using a .mif . you can create .mifs in the quartus ii software and specify their use using the ram megawizard plug-in manager when instantiating memory in your design. even if memory is pre-initialized (for example, using a .mif ), it still powers up with its outputs cleared. only the subsequent read after power up outputs the pre-initialized values. f for more information about .mifs , refer to the internal memory (ram and rom) user guide and the quartus ii handbook . power management the m9k memory block clock enables of the cyclone iii device family allow you to control clocking of each m9k memory bloc k to reduce ac power consumption. use the rden signal to ensure that read operations only occur when necessary. if your design does not require read-during-write, reduce power consumption by deasserting the rden signal during write operations, or any period when there are no memory operations. the quartus ii software auto matically powers down any unused m9k memory blocks to save static power. document revision history table 3?6 lists the revision history for this document. table 3?6. document revision history date version changes december 2011 2.3 minor text edits. december 2009 2.2 minor changes to the text. july 2009 2.1 made minor correction to the part number. june 2009 2.0 updated to include cyclone iii ls information updated chapter part number. updated ?introduction? on page 3?1. updated ?overview? on page 3?1. updated table 3?1 on page 3?2. updated ?control signals? on page 3?3. updated ?memory modes? on page 3?8. updated ?simple dual-port mode? on page 3?10. updated ?read or write clock mode? on page 3?16. october 2008 1.3 updated chapter to new template. may 2008 1.2 revised the maximum performance of the m9k blocks to 315 mhz in ?introduction? and ?overview? sections, and in table 3-1. updated ?address clock enable support? section. july 2007 1.1 added chapter toc and ?referenced documents? section. march 2007 1.0 initial release.
ciii51005-2.3 ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 december 2011 subscribe iso 9001:2008 registered 4. embedded multipliers in the cyclone iii device family the cyclone ? iii device family (cyclone iii and cyclone iii ls devices) includes a combination of on-chip resources and extern al interfaces that help to increase performance, reduce system cost, and lowe r the power consumption of digital signal processing (dsp) systems. the cyclone iii devi ce family, either alone or as dsp device co-processors, are used to improve price-to-performance ratios of dsp systems. particular focus is placed on optimizing cyclone iii and cyclone iii ls devices for applications that benefit from an abundanc e of parallel processing resources, which include video and image processing, intermediate frequency (if) modems used in wireless communications systems, and multi-channel communications and video systems. this chapter contains the following sections: ?embedded multiplier block overview? on page 4?2 ?architecture? on page 4?3 ?operational modes? on page 4?5 december 2011 ciii51005-2.3
4?2 chapter 4: embedded multipliers in the cyclone iii device family embedded multiplier block overview cyclone iii device handbook december 2011 altera corporation volume 1 embedded multiplier block overview figure 4?1 shows one of the embedded multiplier columns with the surrounding logic array blocks (labs). the embedded multipli er is configured as either one 18 18 multiplier or two 9 9 multipliers. for multiplications greater than 18 18, the quartus ? ii software cascades multiple embedd ed multiplier blocks together. there are no restrictions on the data width of th e multiplier, but the greater the data width, the slower the multiplication process. table 4?1 lists the number of embedded multipli ers and the multiplier modes that can be implemented in the cyclone iii device family. figure 4?1. embedded multipliers arranged in columns with adjacent labs embedded multiplier embedded m u ltiplie r col u m n 1 lab row table 4?1. number of embedded multipliers in the cyclone iii device family device family device embedded multipliers 9 9 multipliers (1) 18 18 multipliers (1) cyclone iii ep3c5 23 46 23 ep3c10 23 46 23 ep3c16 56 112 56 ep3c25 66 132 66 ep3c40 126 252 126 ep3c55 156 312 156 ep3c80 244 488 244 ep3c120 288 576 288 cyclone iii ls ep3cls70 200 400 200 ep3cls100 276 552 276 ep3cls150 320 640 320 ep3cls200 396 792 396 note to table 4?1 : (1) these columns show the number of 9 9 or 18 18 multipliers for each de vice. the total number of mu ltipliers for each device i s not the sum of all the multipliers.
chapter 4: embedded multipliers in the cyclone iii device family 4?3 architecture december 2011 altera corporation cyclone iii device handbook volume 1 in addition to the embedded multipliers in the cyclone iii device family, you can implement soft multipliers by using the m9k memory blocks as look-up tables (luts). the luts contain partial results from the multiplication of input data with coefficients that implement variable depth and width high-performance soft multipliers for low-cost, high-volume dsp applications. the availability of soft multipliers increases the number of available multipliers in the device. table 4?2 lists the total number of multipliers available in the cyclone iii device family using embedded multipliers and soft multipliers. f for more information about m9k memory bl ocks of the cyclone iii device family, refer to the memory blocks in the cy clone iii device family chapter. f for more information about soft multipliers, refer to the implementing multipliers in fpga devices application note . architecture each embedded multiplier consists of the following elements: multiplier stage input and output registers input and output interfaces table 4?2. number of multipliers in the cyclone iii device family device family device embedded multipliers soft multipliers (16 16) (1) total multipliers (2) cyclone iii ep3c5 23 ? 23 ep3c10 23 46 69 ep3c16 56 56 112 ep3c25 66 66 132 ep3c40 126 126 252 ep3c55 156 260 416 ep3c80 244 305 549 ep3c120 288 432 720 cyclone iii ls ep3cls70 200 333 533 ep3cls100 276 483 759 ep3cls150 320 666 986 ep3cls200 396 891 1287 notes to table 4?2 : (1) soft multipliers are implemented in sum of multiplication mode. m9k memory blocks are configured with 18-bit data widths to support 16-bit coefficients. the sum of the coeffi cients requires 18-bits of reso lution to account for overflow. (2) the total number of multipliers may vary, depending on the multiplier mode you use.
4?4 chapter 4: embedded multipliers in the cyclone iii device family architecture cyclone iii device handbook december 2011 altera corporation volume 1 figure 4?2 shows the multiplier block architecture. input registers you can send each multiplier input signal into an input register or directly into the multiplier in 9- or 18-bit sections, depending on the operational mode of the multiplier. each multiplier input signal ca n be sent through a register independently of other input signals. for example, you can send the multiplier data a signal through a register and send the data b signal directly to the multiplier. the following control signals are available to each input register in the embedded multiplier: clock clock enable asynchronous clear all input and output registers in a single embedded multiplier are fed by the same clock, clock enable, and as ynchronous clear signals. multiplier stage the multiplier stage of an embedded multiplier block supports 9 9 or 18 18 multipliers as well as other multipliers in between these configurations. depending on the data width or operational mode of the multiplier, a single embedded multiplier can perform one or two multiplications in parallel. for multiplier information, refer to ?operational modes? on page 4?5 . each multiplier operand is a unique signed or unsigned number. two signals, signa and signb , control an input of a multiplier and determine if the value is signed or unsigned. if the signa signal is high, the data a operand is a signed number. if the signa signal is low, the data a operand is an unsigned number. figure 4?2. multiplier block architecture clrn dq ena data a data b aclr clock ena signa signb clrn dq ena clrn dq ena data out embedded multiplier block output register input register
chapter 4: embedded multipliers in the cyclone iii device family 4?5 operational modes december 2011 altera corporation cyclone iii device handbook volume 1 table 4?3 lists the sign of the multiplication results for the various operand sign representations. the results of the multiplica tion are signed if any one of the operands is a signed value . each embedded multiplier block has only one signa and one signb signal to control the sign representation of the input data to the block. if the embedded multiplier block has two 9 9 multipliers, the data a input of both multipliers share the same signa signal, and the data b input of both multipliers share the same signb signal. you can dynamically change the signa and signb signals to modify the sign representation of the input operands at run time. you can send the signa and signb signals through a dedicated input register. the multiplier offers full precision, regardless of the sign representation. 1 when the signa and signb signals are unused, the quartus ii software sets the multiplier to perform unsigned multiplication by default. output registers you can register the embedded multiplier output using output registers in either 18- or 36-bit sections, depending on the operational mode of the multiplier. the following control signals are available for each output register in the embedded multiplier: clock clock enable asynchronous clear all input and output registers in a single embedded multiplier are fed by the same clock, clock enable, and as ynchronous clear signals. operational modes you can use an embedded multiplier bloc k in one of two operational modes, depending on the application needs: one 18-bit 18-bit multiplier up to two 9-bit 9-bit independent multipliers table 4?3. multiplier sign representation data a data b result signa value logic level signb value logic level unsigned low unsigned low unsigned unsigned low signed high signed signed high unsigned low signed signed high signed high signed
4?6 chapter 4: embedded multipliers in the cyclone iii device family operational modes cyclone iii device handbook december 2011 altera corporation volume 1 1 you can also use embedded multipliers of th e cyclone iii device family to implement multiplier adder and multiplier accumulator functions, in which the multiplier portion of the function is implemented using embedded multipliers, and the adder or accumulator function is implemen ted in logic elements (les). 18-bit multipliers you can configure each embedded multiplier to support a single 18 18 multiplier for input widths of 10 to 18 bits. figure 4?3 shows the embedded multiplier configured to support an 18-bit multiplier. all 18-bit multiplier inputs and results ar e independently sent through registers. the multiplier inputs can accept signed intege rs, unsigned integers, or a combination of both. also, you can dynamically change the signa and signb signals and send these signals through dedicated input registers. 9-bit multipliers you can configure each embedded multiplier to support two 9 9 independent multipliers for input widths of up to 9 bits. figure 4?3. 18-bit multiplier mode clrn dq ena data a [17..0] data b [17..0] aclr clock ena signa signb clrn dq ena clrn dq ena data out [35..0] 18 18 multiplier embedded multiplier
chapter 4: embedded multipliers in the cyclone iii device family 4?7 operational modes december 2011 altera corporation cyclone iii device handbook volume 1 figure 4?4 shows the embedded multiplier co nfigured to support two 9-bit multipliers. all 9-bit multiplier inputs and results are independently sent through registers. the multiplier inputs can accept signed intege rs, unsigned integers, or a combination of both. two 9 9 multipliers in the same em bedded multiplier block share the same signa and signb signal. therefore, all the data a inputs feeding the same embedded multiplier must have the same sign representation. similarly, all the data b inputs feeding the same embedded multiplier must have the same sign representation. figure 4?4. 9-bit multiplier mode clrn dq ena data a 0 [8..0] data b 0 [8..0] aclr clock ena signa signb clrn dq ena clrn dq ena data out 0 [17..0] 9 9 multiplier embedded multiplier clrn dq ena data a 1 [8..0] data b 1 [8..0] clrn dq ena clrn dq ena data out 1 [17..0] 9 9 multiplier
4?8 chapter 4: embedded multipliers in the cyclone iii device family document revision history cyclone iii device handbook december 2011 altera corporation volume 1 document revision history table 4?4 lists the revision history for this document. table 4?4. document revision history date version changes december 2011 2.3 minor text edits. december 2009 2.2 minor changes to the text. july 2009 2.1 made minor correction to the part number. june 2009 2.0 updated to include cyclone iii ls information updated chapter part number. updated ?introduction? on page 4?1. updated ?embedded multiplier block overview? on page 4?1. updated table 4?1 on page 4?2 and table 4?2 on page 4?2. updated ?input registers? on page 4?4. october 2008 1.2 updated chapter to new template. july 2007 1.1 added ep3c120 information. updated ?introduction? section. updated table 4?1 and table 4?2. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release.
ciii51006-4.1 ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 july 2012 subscribe iso 9001:2008 registered 5. clock networks and plls in the cyclone iii device family this chapter describes the hierarchical cloc k networks and phase-locked loops (plls) with advanced features in the cyclone ? iii device family (cyclone iii and cyclone iii ls devices). this chapter includes the following sections: ?clock networks? on page 5?1 ?plls in the cyclone iii de vice family? on page 5?9 ?cyclone iii device family pll hardware overview? on page 5?10 ?clock feedback modes? on page 5?11 ?hardware features? on page 5?15 ?programmable bandwidth? on page 5?22 ?phase shift implementation? on page 5?22 ?pll cascading? on page 5?24 ?pll reconfiguration? on page 5?26 ?spread-spectrum clocking? on page 5?33 ?pll specifications? on page 5?33 clock networks the cyclone iii device family provides up to 16 dedicated clock pins ( clk[15..0] ) that can drive the global cl ocks (gclks). the cyclone iii device family supports four dedicated clock pins on each side of th e device except ep3c5 and ep3c10 devices. ep3c5 and ep3c10 devices only support four dedicated clock pins on the left and right sides of the device. f for more information about the number of gclk networks in each device density, refer to the cyclone iii device family overview chapter. gclk network gclks drive throughout the entire device, f eeding all device quadrants. all resources in the device (i/o elements, logic array bl ocks (labs), dedicated multiplier blocks, and m9k memory blocks) can use gclks as clock sources. use these clock network resources for control signals, such as clock enables and clears fed by an external pin. internal logic can also drive gclks for internally generated gclks and asynchronous clears, clock enables, or other control signals with high fan-out. july 2012 ciii51006-4.1
5?2 chapter 5: clock networks and pl ls in the cyclone iii device family clock networks cyclone iii device handbook july 2012 altera corporation volume 1 table 5?1 lists the connectivity of the clock sources to the gclk networks. table 5?1. cyclone iii device family gclk network connections (part 1 of 2) gclk network clock sources gclk networks (1) 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 clk0 / diffclk_0p v ? v ? v ??????????????? clk1 / diffclk_0n ? vv ????????????????? clk2 / diffclk_1p ? v ? vv ??????????????? clk3 / diffclk_1n v ?? v ???????????????? clk4 / diffclk_2p ????? v ? v ? v ?????????? clk5 / diffclk_2n ?????? vv ???????????? clk6 / diffclk_3p ?????? v ? vv ?????????? clk7 / diffclk_3n ????? v ?? v ??????????? clk8 / diffclk_5n (2) ?????????? v ? v ? v ????? clk9 / diffclk_5p (2) ??????????? vv ??????? clk10 / diffclk_4n (2) ??????????? v ? vv ????? clk11 / diffclk_4p (2) ?????????? v ?? v ?????? clk12 / diffclk_7n (2) ??????????????? v ? v ? v clk13 / diffclk_7p (2) ???????????????? vv ?? clk14 / diffclk_6n (2) ???????????????? v ? vv clk15 / diffclk_6p (2) ??????????????? v ?? v ? pll1_c0 (3) v ?? v ???????????????? pll1_c1 (3) ? v ?? v ??????????????? pll1_c2 (3) v ? v ????????????????? pll1_c3 (3) ? v ? v ???????????????? pll1_c4 (3) ?? v ? v ??????????????? pll2_c0 (3) ????? v ?? v ??????????? pll2_c1 (3) ?????? v ?? v ?????????? pll2_c2 (3) ????? v ? v ???????????? pll2_c3 (3) ?????? v ? v ??????????? pll2_c4 (3) ??????? v ? v ?????????? pll3_c0 ?????????? v ?? v ?????? pll3_c1 ??????????? v ?? v ????? pll3_c2 ?????????? v ? v ??????? pll3_c3 ??????????? v ? v ?????? pll3_c4 ???????????? v ? v ????? pll4_c0 ??????????????? v ?? v ?
chapter 5: clock networks and plls in the cyclone iii device family 5?3 clock networks july 2012 altera corporation cyclone iii device handbook volume 1 pll4_c1 ???????????????? v ?? v pll4_c2 ??????????????? v ? v ?? pll4_c3 ???????????????? v ? v ? pll4_c4 ????????????????? v ? v dpclk0 v ??????????????????? dpclk1 ? v ?????????????????? dpclk7 (4) cdpclk0 , or cdpclk7 (2) , (5) ?? v ????????????????? dpclk2 (4) cdpclk1 , or cdpclk2 (2) , (5) ??? vv ??????????????? dpclk5 (4) dpclk7 (2) ????? v ?????????????? dpclk4 (4) dpclk6 (2) ?????? v ????????????? dpclk6 (4) cdpclk5 , or cdpclk6 (2) , (5) ??????? v ???????????? dpclk3 (4) cdpclk4 , or cdpclk3 (2) , (5) ???????? vv ?????????? dpclk8 ?????????? v ????????? dpclk11 ??????????? v ???????? dpclk9 ???????????? v ??????? dpclk10 ????????????? vv ????? dpclk5 ??????????????? v ???? dpclk2 ???????????????? v ??? dpclk4 ????????????????? v ?? dpclk3 ?????????????????? vv notes to table 5?1 : (1) ep3c5 and ep3c10 devices on ly have gclk networks 0 to 9. (2) these pins apply to all devices in the cyclon e iii device family except ep3c5 and ep3c10 devices. (3) ep3c5 and ep3c10 devices only h ave phase-locked loops (plls) 1 and 2. (4) this pin applies only to ep3c5 and ep3c10 devices. (5) only one of the two cdpclk pins can feed the clock control block. yo u can use the other pin as a regular i/o pin. table 5?1. cyclone iii device family gclk network connections (part 2 of 2) gclk network clock sources gclk networks (1) 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
5?4 chapter 5: clock networks and pl ls in the cyclone iii device family clock networks cyclone iii device handbook july 2012 altera corporation volume 1 if you do not use dedicated clock pins to feed the gclks, you can use them as general-purpose input pins to feed the logic array. however, when using them as general-purpose input pins, they do not ha ve support for an i/o register and must use le-based registers in place of an i/o register. f for more information about how to connect the clock and pll pins, refer to the cyclone iii device family pin connection guidelines on the altera ? website. clock control block the clock control block drives gclks. clock co ntrol blocks are located on each side of the device, close to the dedicated cloc k input pins. gclks are optimized for minimum clock skew and delay. table 5?2 lists the sources that can feed the clock control block, which in turn feeds the gclks. in the cyclone iii device family, dedicate d clock input pins, pll counter outputs, dual-purpose clock i/o inputs, and internal logic can all feed the clock control block for each gclk. 1 normal i/o pins cannot drive the pll input clock port. the output from the clock control block in turn feeds the corresponding gclk. the gclk can drive the pll input if the clock co ntrol block inputs are outputs of another pll or dedicated clock input pins. the cl ock control blocks are at the device periphery; there are a maximum of 20 clock control blocks available per cyclone iii device family. the control block has two functions: dynamic gclk clock source selection (not applicable for dpclk or cdpclk and internal logic input) gclk network power down (dynamic enable and disable) table 5?2. clock control block inputs input description dedicated clock inputs dedicated clock input pins can drive clocks or global signals, such as synchronous and asynchronous clears, presets, or clock enables onto given gclks. dual-purpose clock ( dpclk and cdpclk) i/o input dpclk and cdpclk i/o pins are bidirectional dual function pins that are used for high fan-out control signals, such as protocol signals, trdy and irdy signals for pci, via the gclk. clock control blocks that have inputs driven by dual-purpose clock i/o pins are not able to drive pll inputs. pll outputs pll counter outputs can drive the gclk. internal logic you can drive the gclk through logic array routing to enable internal logic elements (les) to drive a high fan-out, low-skew signal path. clock control blocks that have inputs driven by internal logic are not able to drive pll inputs.
chapter 5: clock networks and plls in the cyclone iii device family 5?5 clock networks july 2012 altera corporation cyclone iii device handbook volume 1 figure 5?1 shows the clock control block. each pll generates five clock outputs through the c[4..0] counters. two of these clocks can drive the gclk through a clock control block, as shown in figure 5?1 . f for more information about how to use the clock control block in the quartus ? ii software, refer to the clock control block (altclkctrl ) megafunction user guide . figure 5?1. clock control block notes to figure 5?1 : (1) the clkswitch signal can either be set through the confi guration file or dynamically set when usin g the manual pll swit chover feature. the output of the multiplexer is the input clock (f in ) for the pll. (2) the clkselect[1..0] signals are fed by internal logic and is used to dynamically select th e clock source for the gclk wh en the device is in user mode. (3) the static clock select signals are set in the configuration file. therefore, dynamic control when the device is in user mod e is not feasible. (4) you can use internal logi c to enable or disable the gclk in user mode. clkswitch (1) static clock select (3) static clock select (3) internal logic clock control block dpclk or cdpclk clkselect[1..0] (2) internal logic (4) inclk1 inclk0 clk[ n + 3] clk[ n + 2] clk[ n + 1] clk[ n ] f in c0 c1 c2 pll global clock enable/ disable c3 c4
5?6 chapter 5: clock networks and pl ls in the cyclone iii device family clock networks cyclone iii device handbook july 2012 altera corporation volume 1 gclk network clo ck source generation figure 5?2 shows cyclone iii device family p lls, clock inputs, and clock control block location for different device densities. figure 5?2. pll, clk[], dpclk[], and clock control block locations in the cyclone iii device family (1) notes to figure 5?2 : (1) there are five clock c ontrol blocks on each side. (2) only one of the corner cdpclk pins in each corner can feed the clock co ntrol block at a time. you can use the other cdpclk pins as general-purpose i/o pins . (3) remote clock pins can feed plls over dedicated clock paths. howe ver, these paths are not fully compensated. pll 1 pll 4 pll 2 pll 3 20 20 20 20 4 4 4 4 4 4 4 4 2 2 2 2 22 22 5 5 5 5 (2) (2) (2) (2) cdpclk7 cdpclk0 cdpclk1 dpclk1 dpclk[11.10] dpclk[9.. 8 ] clk[11.. 8 ] cdpclk6 dpclk0 clk[3..0] clock control block (1) gclk[19..0] gclk[19..0] cdpclk5 dpclk7 clk[7..4] dpclk6 cdpclk4 cdpclk2 dpclk[3..2] clk[15..12] dpclk[5..4] cdpclk3 clock control block (1) (3) (3) (3) (3) 4 4 4 4
chapter 5: clock networks and plls in the cyclone iii device family 5?7 clock networks july 2012 altera corporation cyclone iii device handbook volume 1 the inputs to the five clock control blocks on each side must be chosen from among the following clock sources: four clock input pins five pll counter outputs two dpclk pins and two cdpclk pins from both the left and right sides, and four dpclk pins and two cdpclk pins from both the top and bottom five signals from internal logic from the clock sources listed above, only two clock input pins, two pll clock outputs, one dpclk or cdpclk pin, and one source from internal logic can drive into any given clock control block, as shown in figure 5?1 on page 5?5 . out of these five inputs to any clock cont rol block, the two clock input pins and two pll outputs are dynamically selected to feed a gclk. the clock control block supports static selection of the signal from internal logic. figure 5?3 shows a simplified version of the five clock control blocks on each side of the cyclone iii device family periphery. gclk network power down you can disable the cyclone iii device fa mily gclk (power down) by using both static and dynamic approaches. in the static approach, configuration bits are set in the configuration file generated by the quartu s ii software, which automatically disables unused gclks. the dynamic clock enable or disable feature allows internal logic to control clock enable or disable of the gclks in the cyclone iii device family. when a clock network is disabled, all the logic fed by the clock network is in an off-state, thereby reducing the overall powe r consumption of the device. this function is independent of the pll and is applied di rectly on the clock network, as shown in figure 5?1 on page 5?5 . you can set the input clock sources and the clkena signals for the gclk multiplexers through the quartus ii software using the altclkctrl megafunction. f for more information, refer to the clock control block (altclkctrl) megafunction user guide . figure 5?3. clock control blocks on each side of the cyclone iii device family (1) note to figure 5?3 : (1) the left and right side s of the device have two dpclk pins; the top and bottom of the device have four dpclk pins. 5 gclk clock input pins 4 dpclk internal logic clock control block 5 pll outputs 5 2 or 4 cdpclk 2 five clock control blocks on each side of the device
5?8 chapter 5: clock networks and pl ls in the cyclone iii device family clock networks cyclone iii device handbook july 2012 altera corporation volume 1 clkena signals the cyclone iii device family supports clkena signals at the gclk network level. this allows you to gate-off the clock even when a pll is used. upon re-enabling the output clock, the pll does not need a resy nchronization or re-lock period because the circuit gates off the clock at the clock netw ork level. in addition, the pll can remain locked independent of the clkena signals because the loop-related counters are not affected. figure 5?4 shows how to implement the clkena signal. 1 the clkena circuitry controlling the output c0 of the pll to an output pin is implemented with two registers instead of a single register, as shown in figure 5?4 . figure 5?5 shows the waveform example for a clock output enable. the clkena signal is sampled on the falling edge of the clock ( clkin ). 1 this feature is useful for applications that require low power or sleep mode. the clkena signal can also disable clock output s if the system is not tolerant to frequency overshoot during pll resynchronization. figure 5?4. clkena implementation dq clkena clkena_out clk_out clkin figure 5?5. clkena implementation: output enable clkin clkena clk_out
chapter 5: clock networks and plls in the cyclone iii device family 5?9 plls in the cycl one iii device family july 2012 altera corporation cyclone iii device handbook volume 1 altera recommends using the clkena signals when switching the clock source to the plls or the gclk. the recommended sequence is: 1. disable the primary output clock by deasserting the clkena signal. 2. switch to the secondary clock using the dynamic select signals of the clock control block. 3. allow some clock cycles of the secondar y clock to pass before reasserting the clkena signal. the exact number of clock cycl es you must wait before enabling the secondary clock is design-dependent. you ca n build custom logic to ensure glitch- free transition when switching between different clock sources. plls in the cyclone iii device family the cyclone iii device family offers up to four plls that provide robust clock management and synthesis for device cl ock management, external system clock management, and high-speed i/o interfaces. f for more information about the number of plls in each device density, refer to the cyclone iii device family overview chapter. the cyclone iii device family plls ha ve the same core analog structure. table 5?3 lists the features available in th e cyclone iii device family plls. table 5?3. cyclone iii device family pll hardware features hardware features availability c (output counters) 5 m, n, c counter sizes 1 to 512 (1) dedicated clock outputs 1 single-ended or 1 differential pair clock input pins 4 single-ended or 2 differential pairs spread-spectrum input clock tracking v (2) pll cascading through gclk compensation modes source-synchronous mode, no compensation mode, normal mode, and zero delay buffer mode phase shift resolution down to 96-ps increments (3) programmable duty cycle v output counter cascading v input clock switchover v user mode reconfiguration v loss of lock detection v notes to table 5?3 : (1) c counters range from 1 through 512 if the output clock uses a 50% duty cycle. for any output clocks using a non-50% duty cycle, the post-scale counters rang e from 1 through 256. (2) only applicable if the input clock jitter is in the input jitter tolerance specifications. (3) the smallest phase sh ift is determined by the voltag e-controlled oscillator (vco) period divided by eight. for degree increments, the cyclone iii device family can shift all output frequenc ies in increments of at least 45. smaller degree increments are possible depending on the freq uency and divide parameters.
5?10 chapter 5: clock netw orks and plls in the cyclone iii device family cyclone iii device family pll hardware overview cyclone iii device handbook july 2012 altera corporation volume 1 cyclone iii device family pll hardware overview this section gives a hardware overview of the cyclone iii device family pll. figure 5?6 shows a simplified block diagram of the major components of the pll of the cyclone iii device family. 1 the vco post-scale counter k is used to di vide the supported vco range by two. the vco frequency reported by the quartus ii software in the pll summary section of the compilation report takes into consider ation the vco post-scale counter value. therefore, if the vco post-scale counter has a value of 2, the frequency reported is lower than the f vco specification specified in the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. external clock outputs each pll of the cyclone iii de vice family supports one single-ended clock output or one differential clock output . only the c0 output coun ter can feed the dedicated external clock outputs, as shown in figure 5?7 , without going through the gclk. other output counters can feed other i/o pins through the gclk. figure 5?6. cyclone iii device family pll block diagram (1) notes to figure 5?6 : (1) each clock source can come from any of the four clock pins located on the same side of the device as the pll. (2) this is the vco post-scale counter k. (3) this input port is fed by a pin-driven dedicated gclk, or through a clock control block if the clock control block is fed by an output from another pll or a pin-driven dedicated gclk. an intern ally generated global signal cannot drive the pll. clock switchover block inclk0 inclk1 clock inputs from pins gclk pfdena clkswitch clkbad0 clkbad1 activeclock pfd lock circuit lock n cp lf vco 2 (2) c0 c1 c2 c3 c4 m pll output mux gclks external cloc k output 8 8 4 gclk networks no compensation; zdb mode source-synchronous; normal mode vco range detector vcoovrr vcoundr (3)
chapter 5: clock networks and plls in the cyclone iii device family 5?11 clock feedback modes july 2012 altera corporation cyclone iii device handbook volume 1 figure 5?7 shows the external clock outputs for plls. each pin of a differential output pair is 180 out of phase. the quartus ii software places the not gate in your design into the i/o element to implement 180 phase with respect to the other pin in the pair. the clock output pin pairs support the same i/o standards as standard output pins (in the top and bottom banks) as well as lvds, lvpecl, differential hstl, and differential sstl. f to determine which i/o standards are suppo rted by the pll clock input and output pins, refer to the i/o features in the cyclone iii device family chapter. cyclone iii device family plls can drive out to any regular i/o pin through the gclk. you can also use the external clock ou tput pins as general purpose i/o pins if external pll clocking is not required. clock feedback modes cyclone iii device family pl ls support up to four different clock feedback modes. each mode allows clock multiplication and division, phase shifting, and programmable duty cycle. figure 5?7. external clock outputs for plls notes to figure 5?7 : (1) these external clock enab le signals are available only when using the altclkctrl megafunction. (2) pll#_clkoutp and pll#_clkoutn pins are dual-purpose i/o pins that you can use as one single-ended or one differential clock output. c0 c1 c2 c4 c3 pll # clkena 1 (1) clkena 0 (1) pll #_clkoutp (2) pll #_clkoutn (2)
5?12 chapter 5: clock netw orks and plls in the cyclone iii device family clock feedback modes cyclone iii device handbook july 2012 altera corporation volume 1 1 input and output delays are fully compensated by the pll only when you are using the dedicated clock input pins associated wi th a given pll as the clock sources. for example, when using pll1 in normal mode, the clock delays from the input pin to the pll and the pll clock output-to-destination register are fully compensated, provided that the clock input pin is one of the following four pins: clk0 clk1 clk2 clk3 when driving the pll using the gclk networ k, the input and output delays may not be fully compensated in the quartus ii software. source-synch ronous mode if the data and clock arrive at the same ti me at the input pins, the phase relationship between the data and clock remains the same at the data and clock ports of any i/o element input register. figure 5?8 shows an example waveform of the data and clock in this mode. use this mode for source-synchronous data transfers. data and clock signals at the i/o element experience similar buffer delays as long as the same i/o standard is used. source-synchronous mode compensates for delay of the clock network used, including any difference in the delay between the following two paths: data pin to i/o element register input clock input pin to the pll phase-frequency detector (pfd) input 1 set the input pin to the register delay ch ain in the i/o element to zero in the quartus ii software for all data pins clocked by a source-synchronous mode pll. also, all data pins must use the pll compensated logic option in the quartus ii software. figure 5?8. phase relationship between data and clock in source-synchronous mode data pin pll reference clock at input pin data at register clock at register
chapter 5: clock networks and plls in the cyclone iii device family 5?13 clock feedback modes july 2012 altera corporation cyclone iii device handbook volume 1 no compensation mode in no compensation mode, the pll does not compensate for any clock networks. this provides better jitter performance because clock feedback into the pfd does not pass through as much circuitry. both the pll internal and external clock outputs are phase-shifted with respect to the pll clock input. figure 5?9 shows a waveform example of the phas e relationship of the pll clock in this mode. normal mode an internal clock in normal mode is phase-aligned to the input clock pin. the external clock output pin has a phase delay relative to the clock input pin if connected in this mode. the quartus ii software timing analyz er reports any phase difference between the two. in normal mode, the pll fully compensates the delay introduced by the gclk network. figure 5?9. phase relationship between pll clocks in no compensation mode notes to figure 5?9 : (1) internal clocks fed by the pll are phase-aligned to each other. (2) the pll clock outputs can lead or lag the pll input clocks. pll reference clock at the input pin pll clock at the register clock port (1) , (2) external pll clock outputs (2) phase aligned
5?14 chapter 5: clock netw orks and plls in the cyclone iii device family clock feedback modes cyclone iii device handbook july 2012 altera corporation volume 1 figure 5?10 shows a waveform example of the phase relationship of the pll clocks in this mode. zero delay buffer mode in zero delay buffer (zdb) mode, the extern al clock output pin is phase-aligned with the clock input pin for zero delay through the device. when using this mode, use the same i/o standard on the input clock and ou tput clocks to guarantee clock alignment at the input and output pins. figure 5?11 shows an example waveform of the ph ase relationship of the pll clocks in zdb mode. figure 5?10. phase relationship between pll clocks in normal mode note to figure 5?10 : (1) the external clock out put can lead or lag the pll internal clock signals. pll reference clock at the input pin pll clock at the register clock port external pll clock outputs (1) phase aligned figure 5?11. phase relationship between pll clocks in zdb mode pll reference clock at the input pin pll clock at the register clock port external pll clock output at the output pin phase aligned
chapter 5: clock networks and plls in the cyclone iii device family 5?15 hardware features july 2012 altera corporation cyclone iii device handbook volume 1 hardware features cyclone iii device family pl ls support several features for general-purpose clock management. this section discusses clock multiplication and division implementation, phase shifting implementa tions, and programmable duty cycles. clock multiplication and division each cyclone iii device family pll provid es clock synthesis for pll output ports using m/(n*post-scale counter) scaling factors. the input clock is divided by a pre-scale factor, n, and is then multiplied by the m feedback factor. the control loop drives the vco to match f in (m/n). each output port has a unique post-scale counter that divides down the high-frequency vco. for multiple pll outputs with different frequencies, the vco value is the least co mmon multiple of the output frequencies that meets its frequency specifications. for example, if output frequencies required from one pll are 33 and 66 mhz, the quartus ii software sets the vco to 660 mhz (the least common multiple of 33 and 66 mh z in the vco range). then, the post-scale counters scale down the vco frequency for each output port. there is one pre-scale counter, n, and one multiply counter, m, per pll, with a range of 1 to 512 for both m and n. the n counte r does not use duty cycle control because the purpose of this counter is only to ca lculate frequency division. there are five generic post-scale counters per pll that ca n feed gclks or external clock outputs. these post-scale counters range from 1 to 512 with a 50% duty cycle setting. the post-scale counters range from 1 to 256 wi th any non-50% duty cycle setting. the sum of the high/low count values chosen for a design selects the divide value for a given counter. the quartus ii software automatically ch ooses the appropriate scaling factors according to the input frequency, multiplication, and division values entered into the altpll megafunction. 1 phase alignment between output counters are determined using the t pll_pserr specification.
5?16 chapter 5: clock netw orks and plls in the cyclone iii device family hardware features cyclone iii device handbook july 2012 altera corporation volume 1 post-scale counter cascading cyclone iii device family plls support post-scale counter cascading to create counters larger than 512. th is is implemented by feeding the output of one c counter into the input of the next c counter, as shown in figure 5?12 . when cascading counters to implement a larger division of the high-frequency vco clock, the cascaded counters behave as one counter with the product of the individual counter settings. for example, if c0 = 4 and c1 = 2, the cascaded value is c0 c1 = 8. 1 post-scale counter cascading is automatica lly set by the quartus ii software in the configuration file. post-sca le counter cascading cannot be performed using the pll reconfiguration. programmable duty cycle the programmable duty cycle allows plls to generate clock outputs with a variable duty cycle. this feature is supported on the pll post-scale counters. you can achieve the duty cycle setting by a low and high time count setting for the post-scale counters. the quartus ii software uses the frequency in put and the required multiply or divide rate to determine the duty cy cle choices. the post-scale counter value determines the precision of the duty cycle. the precision is defined by 50% divided by the post-scale counter value. for example, if the c0 counte r is 10, steps of 5% are possible for duty cycle choices between 5 to 90%. combining the programmable duty cycle wi th programmable phase shift allows the generation of precise non-overlapping clocks. figure 5?12. counter cascading c0 c1 c2 c3 c4 vco output vco output vco output vco output vco output vco output
chapter 5: clock networks and plls in the cyclone iii device family 5?17 hardware features july 2012 altera corporation cyclone iii device handbook volume 1 pll control signals you can use the following three signals to observe and control the pll operation and resynchronization. pfdena use the pfdena signal to maintain the last locked frequency so that your system has time to store its current settings before shutting down. the pfdena signal controls the pfd output with a programmable gate. if yo u disable the pfd, the vco operates at its last set value of control voltage and freq uency with some long-term drift to a lower frequency. areset the areset signal is the reset or resynchroni zation input for each pll. the device input pins or internal logic can drive these input signals. when driven high, the pll counters reset, clearing the pll output an d placing the pll out of lock. the vco is then set back to its nominal setting. when driven low again, the pll resynchronizes to its input as it re-locks. you must include the areset signal in your designs if one of the following conditions is true: pll reconfiguration or clock switchover enabled in your design phase relationships between the pll inpu t clock and output clocks must be maintained after a loss-of-lock condition 1 if the input clock to the pll is toggling or unstable upon power up, assert the areset signal after the input clock is stable and within specifications. locked the locked output indicates that the pll has lo cked onto the reference clock and the pll clock outputs are operating at the desired phase and frequency set in the quartus ii megawizard ? plug-in manager. 1 altera recommends that you use the areset and locked signals in your designs to control and observe the status of your pll. this implementation is illustrated in figure 5?13 . figure 5?13. locked signal implementation off d q pll locked locked areset v cc
5?18 chapter 5: clock netw orks and plls in the cyclone iii device family hardware features cyclone iii device handbook july 2012 altera corporation volume 1 if you use the signaltap ? ii tool to probe the locked signal before the d flip-flop, the locked signal goes low only when areset is deasserted. if the areset signal is not enabled, the extra logic is not impl emented in the altpll megafunction. f for more information about the pll control signals, refer to the phase-locked loop (altpll) megafunction user guide . clock switchover the clock switchover feature allows the pl l to switch between two reference input clocks. use this feature for clock redundancy or for a dual-clock domain application, such as a system that turns on the redundan t clock if the previous clock stops running. your design can automaticall y perform clock switchover when the clock is no longer toggling, or based on the user control signal, clkswitch . automatic clock switchover cyclone iii device family plls support a fully configurable clock switchover capability. when the current reference clock is not pr esent, the clock-sense block automatically switches to the backup clock for pll referenc e. the clock switchover circuit also sends out three status signals? clkbad[0] , clkbad[1] , and activeclock ?from the pll to implement a custom switchover circuit. you can select a clock source at the backup clock by connecting it to the inclk1 port of the pll in your design. figure 5?14 shows the block diagram of the swit chover circuit built into the pll. figure 5?14. automatic clock switchover circuit s w itcho v er state machine clock sense n co u nter pfd clks w itch (provides manual switchover support ) acti v eclock clk b ad1 clk b ad0 m u xo u t inclk0 inclk1 r efclk fbclk clksw
chapter 5: clock networks and plls in the cyclone iii device family 5?19 hardware features july 2012 altera corporation cyclone iii device handbook volume 1 there are two ways to use the clock switchover feature: use the switchover circuitry for switching from inclk0 to inclk1 running at the same frequency. for example, in applicat ions that require a redundant clock with the same frequency as the reference clock, the switchover state machine generates a signal that controls the multiplexer select input shown in figure 5?14 . in this case, inclk1 becomes the reference clock for the pll. this automatic switchover can switch back and forth between the inclk0 and inclk1 clocks any number of times, when one of the two clocks fa ils and the other clock is available. use the clkswitch input for user- or system-controlled switch conditions. this is possible for same-frequency switchover or to switch between inputs of different frequencies. for example, if inclk0 is 66 mhz and inclk1 is 200 mhz, you must control the switchover because the automa tic clock-sense circuitry cannot monitor primary and secondary clock frequencies with a frequency difference of more than 20%. this feature is useful when clock sources can originate from multiple cards on the backplane, requiring a system-controlled switchover between frequencies of operation. choose the secondary clock frequency so the vco operates in the recommended frequency range. also, set the m , n , and c counters accordingly to keep the vco operating frequency in the recommended range. figure 5?15 shows a waveform example of the switchover feature when using automatic loss of cloc k detection. here, the inclk0 signal remains low. after the inclk0 signal remains low for approximatel y two clock cycles, the clock-sense circuitry drives the clkbad[0] signal high. also, because the reference clock signal is not toggling, the switchover state machine controls the multiplexer through the clksw signal to switch to inclk1 . figure 5?15. automatic switchover upon clock loss detection (1) note to figure 5?15 : (1) switchover is enabled on the falling edge of inclk0 or inclk1 , depending on which clock is available. in this figure, switchover is enabled on the falling edge of inclk1 . inclk0 inclk1 muxout clkbad0 clkbad1 (1) activeclock
5?20 chapter 5: clock netw orks and plls in the cyclone iii device family hardware features cyclone iii device handbook july 2012 altera corporation volume 1 manual override if you are using the automatic switchover, you must switch input clocks with the manual override feature with the clkswitch input. figure 5?16 shows an example of a waveform il lustrating the switchover feature when controlled by clkswitch . in this case, both clock sources are functional and inclk0 is selected as the reference cloc k. a low-to-high transition of the clkswitch signal starts the switchover sequence. the clkswitch signal must be high for at least three clock cycles (at least three of the longer clock period if inclk0 and inclk1 have different frequencies). on the falling edge of inclk0 , the reference clock of the counter, muxout , is gated off to prevent any clock glitching. on the falling edge of inclk1 , the reference clock multiplexer switches from inclk0 to inclk1 as the pll reference. on the falling edge of inclk1 , the reference clock multiplexer switches from inclk0 to inclk1 as the pll reference, and the activeclock signal changes to indicate which clock is currently feeding the pll. in this mode, the activeclock signal mirrors the clkswitch signal. as both blocks are still functional during th e manual switch, neither clkbad signals go high. because the switchover circuit is positive edge -sensitive, the falling edge of the clkswitch signal does not cause the circuit to switch back from inclk1 to inclk0 . when the clkswitch signal goes high again, the process repeats. the clkswitch signal and the automatic switch only works depending on the availability of the clock that is switched to. if the clock is unavailable, the state machin e waits until the clock is available. 1 if clkswitch = 1, the automatic switchov er function is overridden. while the clkswitch signal is high, further switchover action is blocked. figure 5?16. clock switchover using the clkswitch control (1) note to figure 5?16 : (1) both inclk0 and inclk1 must be running when the clkswitch signal goes high to star t a manual clock switchover event. inclk0 inclk1 muxout clkswitch activeclock clkbad0 clkbad1
chapter 5: clock networks and plls in the cyclone iii device family 5?21 hardware features july 2012 altera corporation cyclone iii device handbook volume 1 manual clock switchover cyclone iii device family plls support manual switchover, in which the clkswitch signal controls whether inclk0 or inclk1 is the input clock to the pll. the characteristics of a manual sw itchover is similar to the ma nual override feature in an automatic clock switchover, in which the sw itchover circuit is edge-sensitive. when the clkswitch signal goes high, the switchover sequence starts. the falling edge of the clkswitch signal does not cause the circuit to sw itch back to the previous input clock. f for more information about pll software support in the quartus ii software, refer to the phase-locked loop (altpll) megafunction user guide . guidelines use the following guidelines to design with clock switchover in plls: clock loss detection and automatic clock switchover requires that the inclk0 and inclk1 frequencies be within 20% of each other. failing to meet this requirement causes the clkbad[0] and clkbad[1] signals to function improperly. when using manual clock switchover, the difference between inclk0 and inclk1 can be more than 20%. however, diff erences between the two clock sources (frequency, phase, or both) can cause the pll to lose lock. resetting the pll ensures that the correct phase relationships are maintained between the input and output clocks. 1 both inclk0 and inclk1 must be running when the clkswitch signal goes high to start the manual clock switchover event. fa iling to meet this requirement causes the clock switchover to malfunction. applications that require a clock switch over feature and a small frequency drift must use a low-bandwidth pll. when referencing input clock changes, the low-bandwidth pll reacts slower than a high-bandwidth pll. when the switchover happens, the low-bandwidth p ll propagates the stopping of the clock to the output slower than the high-bandwidth pll. the low-bandwidth pll filters out jitter on the reference clock. however, you must be aware that the low-bandwidth pll also increases lock time. after a switchover occurs, there may be a finite resynchronization period for the pll to lock onto a new clock. the exact amount of time it takes for the pll to re-lock is dependent on the pll configuration. if the phase relationship between the inpu t clock to the pll and output clock from the pll is important in your design, assert areset for 10 ns after performing a clock switchover. wait for the locked signal (or gated lock) to go high before re-enabling the output clocks from the pll.
5?22 chapter 5: clock netw orks and plls in the cyclone iii device family programmable bandwidth cyclone iii device handbook july 2012 altera corporation volume 1 figure 5?17 shows how the vco frequency gradually decreases when the primary clock is lost and then increases as the vco locks on to the secondary clock. after the vco locks on to the secondary clock, some overshoot can occur (an over-frequency condition) in the vco frequency. disable the system during switchover if the system is not tolerant to frequency variations during the pll resynchr onization period. you can use the clkbad[0] and clkbad[1] status signals to turn off the pfd ( pfdena = 0 ) so the vco maintains its last frequency. you can also use the switchover state machine to switch over to the secondary clock. upon enabling the pfd, output clock enable signals ( clkena ) can disable clock outputs during the switchover and resynchronization period. after the lock indication is stable, the system can re-enable the output clock or clocks. programmable bandwidth the pll bandwidth is the measure of the pll?s ability to track the input clock and its associated jitter. cyclone iii device family plls provide advanced control of the pll bandwidth using the programmable characteristics of the pll loop, including loop filter and charge pump. the closed-loop gain 3-db frequency in the pll determines the pll bandwidth. the bandwidth is approximately the unity gain point for open loop pll response. phase shift implementation phase shift is used to implement a robust solution for clock delays in the cyclone iii device family. phase shift is implemented with a combination of the vco phase output and the counter starting time. the vco phase output and counter starting time are the most accurate methods of inse rting delays, because they are purely based on counter settings, which are independent of process, voltage, and temperature. you can phase shift the output clocks from the cyclone iii device family plls in either: fine resolution using vco phase taps, or coarse resolution using counter starting time figure 5?17. vco switchover operating frequency f vco primary clock stops running switchover occurs vco tracks secondary clock frequency overshoot
chapter 5: clock networks and plls in the cyclone iii device family 5?23 phase shift im plementation july 2012 altera corporation cyclone iii device handbook volume 1 fine resolution phase shifts are implemented by allowing any of the output counters ( c[4..0] ) or the m counter to use any of the ei ght phases of the vco as the reference clock. this allows you to adjust the delay time with a fine resolution. equation 5?1 shows the minimum delay time that you can insert using this method. for example, if f ref is 100 mhz, n = 1, and m = 8, then f vco = 800 mhz, and ? fine = 156.25 ps. the pll operating frequency defines this phase shift, a value that depends on reference clock frequency and counter settings. coarse resolution phase shifts are implemen ted by delaying the start of the counters for a predetermined number of counter clocks. equation 5?2 shows the coarse phase shift. equation 5?1. fine resolution phase shift note to equation 5?1 : (1) f ref is the input reference clock frequency equation 5?2. coarse resolution phase shift note to equation 5?2 : (1) c is the count value set for the counte r delay time?the initial setting in the pll usage section of the compilation report in the quartus ii softwar e. if the initial value is 1, c ? 1 = 0 phase shift. ? fine t vco 8 ------------- - 1 8 f vco --------------- n 8 mf ref ------------------- == = ? coarse c 1 ? f vco ------------ - c 1 ? ?? n mf ref ---------------------- ==
5?24 chapter 5: clock netw orks and plls in the cyclone iii device family pll cascading cyclone iii device handbook july 2012 altera corporation volume 1 figure 5?18 shows an example of phase shift insertion using fine resolution through vco phase taps method. the eight phases from the vco are shown and labeled for reference. in this example, clk0 is based on 0 phase from the vco and has the c value for the counter set to one. the clk1 signal is divided by four, two vco clocks for high time and two vco clocks for low time. clk1 is based on the 135 phase tap from the vco and has the c value for the counter set to one. the clk1 signal is also divided by four. in this case, the two clocks are offset by 3 ? fine . clk2 is based on the 0 phase from the vco but has the c value for the counter set to three. this creates a delay of two ? coarse (two complete vco periods). you can use the coarse and fine phase shifts to implement clock delays in the cyclone iii device family. the cyclone iii device family supports dyna mic phase shifting of vco phase taps only. the phase shift is configurable for any number of times. each phase shift takes about one scanclk cycle, allowing you to implement large phase shifts quickly. pll cascading two plls are cascaded to each other thro ugh the clock network. if your design cascades plls, the source (upstream) pll must have a low-bandwidth setting, while the destination (downstream) pll must have a high-bandwidth setting. figure 5?18. delay insertion using vco phase output and counter delay time t d0-1 t d0-2 1/8 t vco t vco 0 90 135 180 225 270 315 clk0 clk1 clk2 45
chapter 5: clock networks and plls in the cyclone iii device family 5?25 pll cascading july 2012 altera corporation cyclone iii device handbook volume 1 figure 5?19 shows using gclk while cascading plls. consider the following guidelines when cascading plls: set the primary pll to low bandwidth to help filter jitter. set the secondary pll to high bandwidth to be able to track the ji tter from the primary pll. you can view the quartus ii software compilation report file to ensure the pll bandwidth ranges do not overlap. if the bandwidth ra nges overlap, jitter peaking can occur in the cascaded pll scheme. 1 you can get an estimate of the pll dete rministic jitter and static phase error (spe) by using the timequest timing analyzer in the quartus ii software. use the sdc command "derive_clock_unce rtainty" to direct timequest to generate a report titled "pllj_pllspe_inf o.txt" in your project directory. then, use "set_clock_uncertainty" command s to add jitter and spe values to your clock constraints. figure 5?19. pll cascading using gclk clk[0..3] clk[ 8 ..11] gclk[0..4] gclk[5..9] gclk[15..19] gclk[10..14] clk[12..15] clk[4..7] o u tp u t f r om pll o u tp u t f r om pll i n p u t to pll o u tp u t f r om pll o u tp u t f r om pll five clock co n t r ol block s five clock co n t r ol block s five clock co n t r ol block s five clock co n t r ol block s pll 1 pll 4 pll 2 pll 3 5 5 5 5 remote clock f r om two clock pi ns at adjace n t edge of device 2 2 2 2 2 4 2 4 4 2 2 4 1 20 gclk[0:19] 20 1 20 1 gclk[0:19] 20 1 gclk[0:19] gclk[0:19]
5?26 chapter 5: clock netw orks and plls in the cyclone iii device family pll reconfiguration cyclone iii device handbook july 2012 altera corporation volume 1 keep the secondary pll in a reset state until the primary pll has locked to ensure the phase settings are correct on the secondary pll. you cannot connect either of the inclk ports of any plls in the cascaded scheme to clock outputs from plls in the cascaded scheme. pll reconfiguration plls use several divide counters and different vco phase taps to perform frequency synthesis and phase shifts. in cyclone iii device family plls, you can reconfigure both counter settings and phase shift the pl l output clock in real time. you can also change the charge pump and loop filter components, which dynamically affects pll bandwidth. you can use these pll components to update the output clock frequency, pll bandwidth, and phase shift in real time, without reconfiguring the entire fpga. the ability to reconfigure the pll in real time is useful in applications that might operate at multiple frequencies. it is also useful in prototyping environments, allowing you to sweep pll output frequencies and adjust the output clock phase dynamically. for instance, a sy stem generating test patterns is required to generate and send patterns at 75 or 150 mhz, depend ing on the requirements of the device under test. reconfiguring pll components in real time allows you to switch between two such output frequencies in a few microseconds. you can also use this featur e to adjust clock-to-out (t co ) delays in real time by changing the pll output clock phase shift. this approach eliminates the need to regenerate a configuration file with the new pll settings. pll reconfiguration ha rdware implementation the following pll components are configurable in real time: pre-scale counter (n) feedback counter (m) post-scale output counters ( c0-c4 ) dynamically adjust the charge pump current ( i cp ) and loop filter components (r, c) to facilitate on-the-fly re configuration of the pll bandwidth
chapter 5: clock networks and plls in the cyclone iii device family 5?27 pll reconfiguration july 2012 altera corporation cyclone iii device handbook volume 1 figure 5?20 shows how to adjust pll counter settings dynamically by shifting their new settings into a serial shift register chain or scan chain. serial data shifts to the scan chain via the scandataport , and shift registers are clocked by scanclk . the maximum scanclk frequency is 100 mhz. after shifting the last bit of data, asserting the configupdate signal for at least one scanclk clock cycle synchronously updates the pll configuration bits with the data in the scan registers. 1 the counter settings are updated synchron ously to the clock frequency of the individual counters. therefore, not all counters update simultaneously. to reconfigure the pll counters, perform the following steps: 1. the scanclkena signal is asserted at least one scanclk cycle prior to shifting in the first bit of scandata ( dn ). 2. serial data ( scandata ) is shifted into the scan chain on the second rising edge of scanclk . 3. after all 144 bits have been scanned into the scan chain, the scanclkena signal is deasserted to prevent inadvertent sh ifting of bits in the scan chain. 4. the configupdate signal is asserted for one scanclk cycle to update the pll counters with the contents of the scan chain. 5. the scandone signal goes high indicating that the pll is being reconfigured. a falling edge indicates that the pll counte rs have been updated with new settings. 6. reset the pll using the areset signal if you make any changes to the m, n, post-scale output c counters, or the i cp , r, c settings. 7. you can repeat steps 1 through 5 to reconfigure the pll any number of times. figure 5?20. pll reconfiguration scan chain /c4 /c3 /c2 /c1 /c0 /m /n scanclk scandone scandata lf/k/cp configupdate inclk pfd vco f vco scanclkena scandataout from m counter from n counter
5?28 chapter 5: clock netw orks and plls in the cyclone iii device family pll reconfiguration cyclone iii device handbook july 2012 altera corporation volume 1 figure 5?21 shows a functional simulation of the pll reconfiguration feature. 1 when reconfiguring the counter clock frequency, the corresponding counter phase shift settings cannot be reconfigured using the same interface. you can reconfigure phase shifts in real time using the dynamic phase shift reconfiguration interface. if you reconfigure the counter frequency, but wi sh to keep the same non-zero phase shift setting (for example, 90) on the clock ou tput, you must reconfigure the phase shift after reconfiguring the counter clock frequency. post-scale counters (c0 to c4) you can configure multiply or divide values and duty cycle of post -scale counters in real time. each counter has an 8-bit high ti me setting and an 8-bit low time setting. the duty cycle is the ratio of output high or low time to the total cycle time, which is the sum of the two. additionally, th ese counters have two control bits, rbypass , for bypassing the counter, and rselodd , to select the output clock duty cycle. when the rbypass bit is set to 1, it bypasses the co unter, resulting in a divide by one. when this bit is set to 0, the pll computes the effective division of the vco output frequency based on the high and low time counters. for example, if the post-scale divide factor is 10, the high and low count values is set to 5 and 5 respectively, to achieve a 50?50% duty cycle. the pll implemen ts this duty cycle by transitioning the output clock from high-to-low on the rising edge of the vco output clock. however, a 4 and 6 setting for the high and low coun t values, respectively, would produce an output clock with 40?60% duty cycle. the rselodd bit indicates an odd divide factor for the vco output frequency with a 50% duty cycle. for example, if the post-scale divide factor is three, the high and low time count values are 2 and 1, respectively , to achieve this division. this implies a 67%?33% duty cycle. if you need a 50% ?50% duty cycle, you must set the rselodd control bit to 1 to achieve this duty cycle despite an odd division factor. the pll implements this duty cycle by transitioning the output clock from high-to-low on a falling edge of the vco output clock. when you set rselodd = 1, subtract 0.5 cycles from the high time and add 0.5 cycles to the low time. for example: figure 5?21. pll reconfiguration scan chain scandata scanclk scanclkena scandataout configupdate scandone areset dn_old d0_old dn d0 dn lsb
chapter 5: clock networks and plls in the cyclone iii device family 5?29 pll reconfiguration july 2012 altera corporation cyclone iii device handbook volume 1 high time count = 2 cycles low time count = 1 cycle rselodd = 1 effectively equals: high time count = 1.5 cycles low time count = 1.5 cycles duty cycle = (1.5/3)% high time count and (1.5/3)% low time count scan chain description cyclone iii device family plls have a 144-bit scan chain. table 5?4 lists the number of bits for each component of the pll. figure 5?22 shows the scan chain order of the pll components. table 5?4. cyclone iii device family pll reprogramming bits block name number of bits counter other total c4 (1) 16 2 (2) 18 c3 16 2 (2) 18 c2 16 2 (2) 18 c1 16 2 (2) 18 c0 16 2 (2) 18 m162 (2) 18 n162 (2) 18 charge pump 9 0 9 loop filter (3) 909 total number of bits: 144 notes to table 5?4 : (1) lsb bit for c4 lo w-count value is the first bi t shifted into the scan chain. (2) these two control bits include rbypass , for bypassing the counter, and rselodd , to select the ou tput clock duty cycle. (3) msb bit for loop filter is the last bit shifte d into the scan chain. figure 5?22. pll component scan chain order datain c1 c2 c3 c4 datao u t msb lf cp lsb n mc0
5?30 chapter 5: clock netw orks and plls in the cyclone iii device family pll reconfiguration cyclone iii device handbook july 2012 altera corporation volume 1 figure 5?23 shows the scan chain bit order sequence for one pll post-scale counter in cyclone iii device family plls. f for more information about the pll scan chain, refer to the implementing pll reconfiguration in cyclone iii devices application note. charge pump and loop filter you can reconfigure the charge pump and loop filter settings to update the pll bandwidth in real time. table 5?5 through table 5?7 list the possible settings for charge pump (icp), loop filter resistor (r ), and capacitor (c) values for cyclone iii device family plls. figure 5?23. scan chain bit order datain rbypass hb 7 hb 6 hb 5 hb 4 hb 3 hb 2 hb 1 hb 0 rselodd lb 7 lb 6 lb 5 lb 4 lb 3 lb 2 lb 1 lb 0 datao u t hb 9 hb 8 lb 9 lb 8 table 5?5. charge pump bit control cp[2] cp[1] cp[0] setting (decimal) 0000 0011 0113 1117 table 5?6. loop filter resistor value control lfr[4] lfr[3] lfr[2] lfr[1] lfr[0] setting (decimal) 000000 000113 001004 010008 1000016 1001119 1010020 1100024 1101127 1110028 1111030
chapter 5: clock networks and plls in the cyclone iii device family 5?31 pll reconfiguration july 2012 altera corporation cyclone iii device handbook volume 1 bypassing pll counter bypassing a pll counter results in a multiply (m counter) or a divide (n, c0 to c4 counters) factor of one. table 5?8 lists the settings for bypassing the co unters in cyclone iii device family plls. to bypass any of the pll counters, set the bypass bit to 1. the values on the other bits are then ignored. dynamic phase shifting the dynamic phase shifting feature allows the output phase of individual pll outputs to be dynamically adjusted relati ve to each other and the reference clock without sending serial data through the sc an chain of the corresponding pll. this feature simplifies the interface an d allows you to quickly adjust t co delays by changing output clock phase shift in real time. this is achieved by incrementing or decrementing the vco phase-tap selection to a given c counter or to the m counter. the phase is shifted by 1/8 the vco frequenc y at a time. the output clocks are active during this phase reconfiguration process. table 5?9 lists the control signals that ar e used for dynamic phase shifting. table 5?7. loop filter control of high frequency capacitor lfc[1] lfc[0] setting (decimal) 000 011 113 table 5?8. pll counter settings pll scan chain bits [0..8] settings description lsb msb x x x x x x x x 1 (1) pll counter bypassed x x x x x x x x 0 (1) pll counter not bypassed note to table 5?8 : (1) bypass bit. table 5?9. dynamic phase shifting control signals (part 1 of 2) signal name description source destination phasecounterselect[2:0] counter select. three bits decoded to select either the m or one of the c counters for phase adjustment. one address map to select all c counters. this signal is registered in the pll on the rising edge of scanclk . logic array or i/o pins pll reconfiguration circuit phaseupdown selects dynamic phase shift direction; 1= up, 0 = down. signal is registered in the pll on the rising edge of scanclk . logic array or i/o pins pll reconfiguration circuit
5?32 chapter 5: clock netw orks and plls in the cyclone iii device family pll reconfiguration cyclone iii device handbook july 2012 altera corporation volume 1 table 5?10 lists the pll counter selection based on the corresponding phasecounterselect setting. to perform one dynamic phase shift st ep, you must perform the following procedures: 1. set phaseupdown and phasecounterselect as required. 2. assert phasestep for at least two scanclk cycles. each phasestep pulse allows one phase shift. 3. deassert phasestep after phasedone goes low. 4. wait for phasedone to go high. 5. repeat steps 1 through 4 as many times as required to perform multiple phase- shifts. phaseupdown and phasecounterselect signals are synchronous to scanclk and must meet the t su and t h requirements with respect to the scanclk edges. 1 you can repeat dynamic phase-shifting inde finitely. for example, in a design where the vco frequency is set to 1,000 mhz and the output clock frequency is set to 100 mhz, performing 40 dynamic phase shifts (each one yields 125 ps phase shift) results in shifting the output clock by 180 ? , in other words, a phase shift of 5 ns. phasestep logic high enables dynamic phase shifting. logic array or i/o pins pll reconfiguration circuit scanclk free running clock from core used in combination with phasestep to enable or disable dynamic phase shifting. shared with scanclk for dynamic reconfiguration. gclk or i/o pins pll reconfiguration circuit phasedone when asserted, it indicates to core logic that the phase adjustment is complete and pll is ready to act on a possible second adjustment pulse. asserts based on internal pll timing. deasserts on rising edge of scanclk . pll reconfiguration circuit logic array or i/o pins table 5?9. dynamic phase shifting control signals (part 2 of 2) signal name description source destination table 5?10. phase counter select mapping phasecounterselect [2] [1] [0] selects 0 0 0 all output counters 0 0 1 m counter 0 1 0 c0 counter 0 1 1 c1 counter 1 0 0 c2 counter 1 0 1 c3 counter 1 1 0 c4 counter
chapter 5: clock networks and plls in the cyclone iii device family 5?33 spread-spectrum clocking july 2012 altera corporation cyclone iii device handbook volume 1 figure 5?24 shows the dynamic phase shifting waveform. the phasestep signal is latched on the negative edge of scanclk (a,c) and must remain asserted for at least two scanclk cycles. deassert phasestep after phasedone goes low. on the second scanclk rising edge (b,d) after phasestep is latched, the values of phaseupdown and phasecounterselect are latched and the pll starts dynamic phase-shifting for the specified counters, and in the indicated direction. phasedone is deasserted synchronous to scanclk at the second rising edge (b,d) and remains low until the pll finishes dynamic phase- shifting. depending on the vco and scanclk frequencies, phasedone low time may be greater than or less than one scanclk cycle. you can perform another dynamic phase-shift after the phasedone signal goes from low to high. each phasestep pulse enables one phase shift. phasestep pulses must be at least one scanclk cycle apart. f for information about the altpll_reconfig megawizard plug-in manager , refer to the phase-locked loop reconfiguratio n (altpll_reconfig) megafunction user guide. spread-spectrum clocking the cyclone iii device family can accept a spread-spectrum input with typical modulation frequencies. however, the devi ce cannot automatically detect that the input is a spread-spectrum signal. instead, the input signal looks like deterministic jitter at the input of the pll. cyclone iii device family plls can track a spread-spectrum input clock as long as it is in the input jitter tolerance specifications and the modulation frequency of the input clock is below the pll bandwidth, which is specified in the fitter report. the cy clone iii device fami ly cannot generate spread-spectrum signals internally. pll specifications f for information about pll specifications, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. figure 5?24. timing diagram for dynamic phase shift phasedone goes low synchronous with scanclk scanclk phasestep phaseupdown phasecounterselect phasedone a b c d
5?34 chapter 5: clock netw orks and plls in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1 document revision history table 5?11 lists the revision history for this document. table 5?11. document revision history (part 1 of 2) date version changes july 2012 4.1 updated figure 5?2 . november 2011 4.0 minor edits to equation 5?1 and equation 5?2. updated table 5?5. updated figure 5?6, figure 5?13, figure 5?19, and figure 5?24. updated ?clock control block? on page 5?4, ?manual override? on page 5?20, ?pll cascading? on page 5?24, and ?dynamic phase shifting? on page 5?31. minor text edits. december 2009 3.2 minor changes to the text. july 2009 3.1 made minor correction to the part number. june 2009 3.0 updated to include cyclone iii ls information. updated chapter part number. updated ?clock networks? on page 5?1. updated table 5?1 on page 5?2, table 5?3 on page 5?9. updated ?plls in the cyclone iii device family? on page 5?9. updated ?pll reconfiguration hardware implementation? on page 5?25. updated ?spread-spectrum clocking? on page 5?32. october 2008 2.1 updated the ?dynamic phase shifting? and ?introduction? sections. updated figure 5?2, figure 5?8, and figure 5?24. updated chapter to new template. may 2008 2.0 updated figure 5?2 and added (note 3). updated ?clkena signals? section. updated figure 5?8 and added (note 3). updated ?pll control signals? section. updated ?pll cascading? section. updated ?cyclone iii pll hardware overview? section. updated table 5?6, table 5?3, table 5?7. updated figure 5?14. updated ?pll cascading? section. updated ?clock multiplication and division? section. updated step 6?32 in ?pll reconfiguration hardware implementation? section. updated ?spread-spectrum clocking? section. updated figure 5?29. updated ?vccd and gnd? section. added ?power consumption? section. september 2007 1.2 updated ?board layout? section and removed figure 5-30.
chapter 5: clock networks and plls in the cyclone iii device family 5?35 document revision history july 2012 altera corporation cyclone iii device handbook volume 1 july 2007 1.1 updated document with ep3c120 information. updated table 5?1 and table 5?4 with ep3c120 information. updated ?clock control block? section. updated locked signal information in ?pll control signals? section and added figure 5?16. updated ?manual override? section, updated ?manual clock switchover? section. added new ?programmable bandwidth? section with figure 5?21 and figure 5?22. replaced figure 5-30 with correct diagram. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release. table 5?11. document revision history (part 2 of 2) date version changes
5?36 chapter 5: clock netw orks and plls in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1
august 2012 altera corporation cyclone iii device handbook volume 1 section ii. i/o interfaces this section provides information about cyclone ? iii device family i/o features and high-speed differential and external memory interfaces. this section includes the following chapters: chapter 6, i/o features in the cyclone iii device family chapter 7, high-speed differential interf aces in the cyclone iii device family chapter 8, external memory interfaces in the cyclone iii device family f for information about the revision history for chapters in this section, refer to ?document revision history? in each individual chapter.
ii?2 section ii: i/o interfaces cyclone iii device handbook august 2012 altera corporation volume 1
ciii51007-3.4 ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 july 2012 subscribe iso 9001:2008 registered 6. i/o features in the cyclone iii device family this chapter describes the i/o features offered in the cyclone ? iii device family (cyclone iii and cyclone iii ls devices). the i/o capabilities of the cy clone iii device family are dr iven by the diversification of i/o standards in many low-cost applications, and the significant increase in required i/o performance. altera?s objectiv e is to create a device that accommodates your key board design needs with ease and flexibility. the i/o flexibility of the cyclone iii device family is increased from the previous generation low-cost fpgas by allowing all i/o standards to be selected on all i/o banks. improvements to on-chip terminatio n (oct) support and the addition of true differential buffers have eliminated th e need for external resistors in many applications, such as display syst em interfaces. altera?s quartus ? ii software completes the solution with powerful pin pl anning features that allow you to plan and optimize i/o system designs even before the design files are available. this chapter contains the following sections: ?cyclone iii device family i/o elements? on page 6?1 ?i/o element features? on page 6?2 ?oct support? on page 6?7 ?i/o standards? on page 6?11 ?termination scheme for i/o standards? on page 6?13 ?i/o banks? on page 6?16 ?pad placement and dc guidelines? on page 6?21 cyclone iii device family i/o elements cyclone iii device family i/ o elements (ioes) contain a bidirectional i/o buffer and five registers for registering input, output, output-enable signals, and complete embedded bidirectional single-data rate transfer. i/o pins support various single-ended and differential i/o standards. the ioe contains one input register, two outp ut registers, and two output-enable (oe) registers. the two output registers an d two oe registers are used for ddr applications. you can use input registers for fast setup times and output registers for fast clock-to-output times. additionally, you can use oe registers for fast clock-to-output enable timing. you can use ioes for input, output, or bidirectional data paths. july 2012 ciii51007-3.4
6?2 chapter 6: i/o features in the cyclone iii device family i/o element features cyclone iii device handbook july 2012 altera corporation volume 1 figure 6?1 shows the cyclone iii device family ioe structure. i/o element features the cyclone iii device family ioe offers a range of programmable features for an i/o pin. these features increase the flexibility of i/o utilization and provide an alternative to reduce the usage of external discrete components to on-chip, such as a pull-up resistor and a diode. programmable current strength the output buffer for each cyclone iii device family i/o pi n has a programmable current strength control for certain i/o standards. the lvttl , lvcmos , sstl-2 class i and class ii , sstl-18 class i and class ii , hstl-18 class i and class ii , hstl-15 class i and class ii , and hstl-12 class i and class ii i/o standards have several levels of current strength that you can control. figure 6?1. cyclone iii device family ioe in a bidirectional i/o configuration d q ena d q ena v ccio v ccio optional pci clamp programmable pull-up resistor bus hold input pin to input register delay or input pin to logic array delay output pin delay clkin oe_in data_in0 data_in1 sclr/ preset chip-wide reset aclr/prn oe_out clkout oe oe register current strength control open-drain out column or row interconnect io_clk[5..0] slew rate control aclr /prn aclr /prn output register d q ena aclr /prn input register
chapter 6: i/o features in the cyclone iii device family 6?3 i/o element features july 2012 altera corporation cyclone iii device handbook volume 1 table 6?1 lists the possible settings for i/o standards with current strength control. these programmable current strength settings are a valuable tool in helping decrease the effects of simultaneously switching ou tputs (sso) in conjunction with reducing system noise. the supported settings ensure that the device driver meets the specifications for ioh and iol of the corresponding i/o standard. 1 when you use programmable current streng th, on-chip series termination is not available. f for information about how to interface the cycl one iii device family with 3.3-, 3.0-, or 2.5-v systems, refer to the guidelines provided in an 447: interfacing cyclone iii and cyclone iv devices with 3.3/3.0/ 2.5-v lvttl/lvcmos i/o systems . table 6?1. programmable current strength (1) i/o standard i oh /i ol current strength setting (ma) top and bottom i/o pins left and right i/o pins 1.2-v lvcmos 2, 4, 6, 8, 10,12 2, 4, 6, 8,10 1.5-v lvcmos 2, 4, 6, 8, 10, 12,16 2, 4, 6, 8, 10, 12,16 1.8-v lvttl / lvcmos 2, 4, 6, 8, 10, 12,16 2, 4, 6, 8, 10, 12,16 2.5-v lvttl / lvcmos 4, 8, 12,16 4, 8, 12,16 3.0-v lvcmos 4, 8, 12,16 4, 8, 12,16 3.0-v lvttl 4, 8, 12,16 4, 8, 12,16 3.3-v lvcmos (2) 22 3.3-v lvttl (2) 4, 8 4, 8 hstl-12 class i 8, 10,12 8, 10 hstl-12 class ii 14 ? hstl-15 class i 8, 10, 12 8, 10, 12 hstl-15 class ii 16 16 hstl-18 class i 8, 10, 12 8, 10, 12 hstl-18 class ii 16 16 sstl-18 class i 8, 10, 12 8, 10, 12 sstl-18 class ii 12, 16 12, 16 sstl-2 class i 8, 12 8, 12 sstl-2 class ii 16 16 blvds 8, 12, 16 8, 12, 16 notes to table 6?1 : (1) the default setting in th e quartus ii software is 50- ? oct without calibration for all non-voltage reference and hstl / sstl class i i/o standards. the default setting is 25- ? oct without calibration for hstl / sstl class ii i/o standards. (2) the default current setting in the quartus ii software is hi ghlighted in bold italic for 3.3-v lvttl and 3.3-v lvcmos i/o standards.
6?4 chapter 6: i/o features in the cyclone iii device family i/o element features cyclone iii device handbook july 2012 altera corporation volume 1 slew rate control the output buffer for each cyclone iii de vice family i/o pin provides optional programmable output slew-rate control. th e quartus ii software allows three settings for programmable slew rate control?0, 1, an d 2?where 0 is the slow slew rate and 2 is the fast slew rate. the default setting is 2. a faster slew rate provides high-speed transitions for high-performance systems. however, these fast transitions may introduce noise transients in the system. a slower slew rate reduces system noise, but adds a nominal delay to rising and fall ing edges. because each i/o pin has an individual slew-rate control, you can specify the slew rate on a pin-by-pin basis. the slew-rate control affects both the rising and fa lling edges. slew rate control is available for single-ended i/o standards with current strength of 8 ma or higher. 1 you cannot use the programmable slew rate feature when using oct with or without calibration. 1 you cannot use the programmable slew rate feature when using the 3.0-v pci , 3.0-v pci-x , 3.3-v lvttl , and 3.3-v lvcmos i/o standards. only fast slew rate (default) setting is available. open-drain output the cyclone iii device family provides an optional open-drain (equivalent to an open-collector) output for each i/o pin. this open-drain output enables the device to provide system-level control signals (for ex ample, interrupt and write enable signals) that are asserted by multiple devices in your system. bus hold each cyclone iii device family user i/o pi n provides an optional bus-hold feature. the bus-hold circuitry holds the signal on an i/o pin at its last-driven state. because the bus-hold feature holds the last-driven stat e of the pin until the next input signal is present, an external pull-up or pull-down re sistor is not necessary to hold a signal level when the bus is tri-stated. the bus-hold circuitry also pulls undriven pins away from the input threshold voltage in which noise can cause unintended high-frequency switching. you can select this feature individually for each i/o pin. the bus-hold output drives no higher than v ccio to prevent overdriving signals. 1 if you enable the bus-hold feature, the device cannot use the programmable pull-up option. disable the bus-hold feature when the i/o pin is configured for differential signals. bus-hold circuitry is not available on dedicated clock pins. bus-hold circuitry is only active after configuration. when going into user mode, the bus-hold circuit captures the value on th e pin present at the end of configuration. f for the specific sustaining current for each v ccio voltage level driven through the resistor and for the overdrive current used to identify the next driven input level, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters.
chapter 6: i/o features in the cyclone iii device family 6?5 i/o element features july 2012 altera corporation cyclone iii device handbook volume 1 programmable pull-up resistor each cyclone iii device family i/o pin pr ovides an optional programmable pull-up resistor while in user mode. if you enable this feature for an i/o pin, the pull-up resistor holds the output to the v ccio level of the output pin?s bank. 1 if you enable the programmable pull-up, th e device cannot use the bus-hold feature. programmable pull-up resistors are not su pported on the dedicated configuration, jtag, and dedicated clock pins. 1 when the optional dev_oe signal drives low, all i/o pins remain tri-stated even if the programmable pull-up option is enabled. programmable delay the cyclone iii device family ioe includes programmable delays to ensure zero hold times, minimize setup times, increase cloc k-to-output times, or delay the clock input signal. a path in which a pin directly drives a register may require a programmable delay to ensure zero hold time, whereas a path in which a pin drives a register through combinational logic may not require the delay. programmable delays minimize setup time. the quartus ii compiler can program these delays to automatically minimize setup time while providing a zero hold time. programmable delays can increase the register-to-pin delays for output regist ers. each dual-purpose clock input pin provides a programmable delay to the global clock networks. table 6?2 lists the programmable delays fo r the cyclone iii device family. there are two paths in the ioe for an input to reach the logic array. each of the two paths can have a different delay. this allows you to adjust delays from the pin to the internal logic element (le) registers that re side in two different areas of the device. you must set the two combinational input delays with the input delay from pin to internal cells logic option in the quartus ii software for each path. if the pin uses the input register, one of the delays is disregarded and the delay is set with the input delay from pin to input register logic option in the quartus ii software. the ioe registers in each i/o block share the same source for the preset or clear features. you can program preset or clear fo r each individual ioe, but you cannot use both features simultaneously. you can also program the registers to power-up high or low after configuration is complete. if programmed to power-up low, an asynchronous clear can control the register s. if programmed to power-up high, an table 6?2. cyclone iii device family programmable delay chain programmable delays quartus ii logic option input pin-to-logic array delay input delay from pin to internal cells input pin-to-input register delay input delay from pin to input register output pin delay delay from output register to output pin dual-purpose clock input pin delay input delay from dual-purpose clock pin to fan-out destinations
6?6 chapter 6: i/o features in the cyclone iii device family i/o element features cyclone iii device handbook july 2012 altera corporation volume 1 asynchronous preset can control the registers. this feature prevents the inadvertent activation of the active-low input of anothe r device upon power up. if one register in an ioe uses a preset or clear signal, all regist ers in the ioe must use that same signal if they require preset or clear. additionally, a synchronous reset signal is available for the ioe registers. f for more information about the input and output pin delay settings, refer to the area and timing optimization chapter in volume 2 of the quartus ii handbook . pci-clamp diode the cyclone iii device family provides an optional pci-clamp diode enabled input and output for each i/o pin. dual-purpose configuration pins support the diode in user mode if the specific pins are not us ed as configuration pins for the selected configuration scheme. for example, if you are using the active serial (as) configuration scheme, you cannot use the clamp diode on the asdo and ncso pins in user mode. dedicated configuration pins do not support the on-chip diode. the pci-clamp diode is available for the following i/o standards: 3.3-v lvttl 3.3-v lvcmos 3.0-v lvttl 3.0-v lvcmos 2.5-v lvttl/lvcmos pci pci-x if the input i/o standard is 3.3-v lvttl , 3.3-v lvcmos , 3.0-v lvttl , 3.0-v lvcmos , 2.5-v lvttl / lvcmos , pci , or pci-x , the pci clamp diode is enabled by default in the quartus ii software. f for more information about the cyclone iii device family pci-clamp diode support, refer to an 447: interfacing cyclone iii and cy clone iv devices with 3.3/3.0/2.5-v lvttl/lvcmos i/o systems . lvds transmitter programmable pre-emphasis the cyclone iii device family true lvds transmitter supports programmable pre-emphasis. programmable pre-emphasis is used to compensate the frequency-dependent attenuation of the tran smission line. it increases the amplitude of the high-frequency components of the ou tput signal, which cancels out much of the high-frequency loss of the transmission line. the quartus ii software allows two settings for programmable pre-emphasis control?0 and 1, in which 0 is pre-emphasis off and 1 is pre-emphasis on. the default setting is 1. the amount of pre-emphasis needed depends on the amplification of the high-frequency components along the transmission line. you must adjust the setting to suit your designs, as pre-emphasis decreases the amplitude of the low-frequency component of the output signal as well.
chapter 6: i/o features in the cyclone iii device family 6?7 oct support july 2012 altera corporation cyclone iii device handbook volume 1 f for more information about the cyclone iii device family high-speed differential interface support, refer to the high-speed differential interfa ces in the cyclone iii device family chapter. oct support the cyclone iii device family features oc t to provide output impedance matching and termination capabilities. oct helps to prevent reflections and maintain signal integrity while minimizing the need for external resistors in high pin-count ball grid array (bga) packages. the cyclone iii device family provides ou tput driver on-chip impedance matching and on-chip series termination for single-e nded outputs and bidirectional pins. for bidirectional pins, oct is active only for output. 1 when using on-chip series termination, programmable current strength is not available. there are two ways to implement oct in the cyclone iii device family: oct with calibration oct without calibration table 6?3 lists the i/o standards that support output impedance matching and series termination. table 6?3. selectable i/o drivers for on-chip serie s termination with and without calibration setting i/o standard on-chip series termination with calibration setting, in ohms ( ? ) on-chip series termination without calibration setting, in ohms ( ? ) row i/o column i/o row i/o column i/o 3.0-v lvttl / lvcmos 50, 25 50, 25 50, 25 50, 25 2.5-v lvttl / lvcmos 50, 25 50, 25 50, 25 50, 25 1.8-v lvttl / lvcmos 50, 25 50, 25 50, 25 50, 25 1.5-v lvcmos 50, 25 50, 25 50, 25 50, 25 1.2-v lvcmos 50 50, 25 50 50, 25 sstl-2 class i 50 50 50 50 sstl-2 class ii 25 25 25 25 sstl-18 class i 50 50 50 50 sstl-18 class ii 25 25 25 25 hstl-18 class i 50 50 50 50 hstl-18 class ii 25 25 25 25 hstl-15 class i 50 50 50 50 hstl-15 class ii 25 25 25 25 hstl-12 class i 50 50 50 50 hstl-12 class ii ?25 ? 25
6?8 chapter 6: i/o features in the cyclone iii device family oct support cyclone iii device handbook july 2012 altera corporation volume 1 on-chip series termination with calibration the cyclone iii device family supports on-chi p series termination with calibration in all banks. the on-chip series terminatio n calibration circuit compares the total impedance of the output buffer to the external 25- ? 1% or 50- ? 1% resistors connected to the rup and rdn pins, and dynamically ad justs the output buffer impedance until they match (as shown in figure 6?2 ). the r s shown in figure 6?2 is the intrinsic impedance of the transistors that make up the output buffer. oct with calibration is achieved using the oct calibration block circuitry. there is one oct calibration block in banks 2, 4, 5, and 7. each calibration block supports each side of the i/o banks. because there are two i/o banks sharing the same calibration block, both banks must have the same v ccio if both banks enable oct calibration. if two related banks have different v ccio s, only the bank in which the calibration block resides can enable oct calibration. figure 6?2. cyclone iii device family on-chip series termination with calibration cyclone iii device family driver series termination receiving device v ccio r s r s z o gnd
chapter 6: i/o features in the cyclone iii device family 6?9 oct support july 2012 altera corporation cyclone iii device handbook volume 1 figure 6?3 shows the top-level view of the oct calibration blocks placement. each calibration block comes with a pair of rup and rdn pins. when used for calibration, the rup pin is connected to v ccio through an external 25- ? 1% or 50- ? 1% resistor for an on-chip se ries termination value of 25 ? or 50 ? , respectively. the rdn pin is connected to gnd through an external 25- ? 1% or 50- ? 1% resistor for an on-chip series termination value of 25 ? or 50 ? , respectively. the external resistors are compared with the in ternal resistance using comparators. the resultant outputs of the comparators are used by the oct calibration block to dynamically adjust buffer impedance. during calibration, the resistance of the rup and rdn pins varies. for an estimate of the maximum possible current through the external calibration resistors, assume a minimum resistance of 0 ? on the rup and rdn pins during calibration. figure 6?3. cyclone iii device family oct block placement i/o bank 8 i/o bank 7 i/o bank with calibration block i/o bank without calibration block calibration block coverage i/o bank 3 i/o bank 4 i/o bank 1 i/o bank 2 i/o bank 6 i/o bank 5 cyclone iii device family
6?10 chapter 6: i/o features in the cyclone iii device family oct support cyclone iii device handbook july 2012 altera corporation volume 1 figure 6?4 shows the external calibration resistors setup on the rup and rdn pins and the associated oct ca libration circuitry. rup and rdn pins go to a tri-state condition when calibration is completed or not running. these two pins are dual-purpose i/ os and function as regular i/os if you do not use the calibration circuit. on-chip series termination without calibration the cyclone iii device family supports driv er impedance matching to the impedance of the transmission line, which is typically 25 ? or 50 ? . when used with the output drivers, oct sets the output driver impedance to 25 ? or 50 ? . the cyclone iii device family also supports output driver series termination (r s =50 ? ) for sstl-2 and sstl-18 . figure 6?4. cyclone iii device family on-chip series termination with calibration setup cyclone iii device family oct with calibration with rup and rdn pins oct calibration circuitry v ccio v ccio rup rdn external calibration resistor external calibration resistor gnd
chapter 6: i/o features in the cyclone iii device family 6?11 i/o standards july 2012 altera corporation cyclone iii device handbook volume 1 figure 6?5 shows the single-ended i/o standards for oct without calibration. the r s shown is the intrinsic transistor impedance. all i/o banks and i/o pins support impeda nce matching and series termination. dedicated configuration pins and jtag pins do not support impedance matching or series termination. on-chip series termination is supported on any i/o bank. v ccio and v ref must be compatible for all i/o pins to enable on-c hip series termination in a given i/o bank. i/o standards that support different r s values can reside in the same i/o bank as long as their v ccio and v ref are not conflicting. impedance matching is implemented using the capabilities of the ou tput driver and is subject to a certain degree of variation, depending on the process, voltage, and temperature. f for more information about tolerance specification, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. i/o standards the cyclone iii device family supports mult iple single-ended and differential i/o standards. apart from 3.3-, 3.0-, 2.5-, 1.8-, and 1.5-v support, the cyclone iii device family also supports 1.2-v i/o standards. figure 6?5. cyclone iii device family on-chip series termination without calibration cyclone iii device family driver series termination receiving device v ccio r s r s z o gnd
6?12 chapter 6: i/o features in the cyclone iii device family i/o standards cyclone iii device handbook july 2012 altera corporation volume 1 table 6?4 lists i/o standards supported by the cyclone iii device family and which i/o pins support them. table 6?4. cyclone iii device family supported i/o standards and constraints (part 1 of 2) i/o standard type standard support v ccio level (in v) top and bottom i/o pins left and right i/o pins input output clk, dqs pll_out user i/o pins clk, dqs user i/o pins 3.3-v lvttl , 3.3-v lvcmos (1) single-ended jesd8-b 3.3/3.0/2.5 (2) 3.3 vv vvv 3.0-v lvttl , 3.0-v lvcmos (1) single-ended jesd8-b 3.3/3.0/2.5 (2) 3.0 vv vvv 2.5-v lvttl / lvcmos single-ended jesd8-5 3.3/3.0/2.5 (2) 2.5 vv vvv 1.8-v lvttl / lvcmos single-ended jesd8-7 1.8/1.5 (2) 1.8 vv vvv 1.5-v lvcmos single-ended jesd8-11 1.8/1.5 (2) 1.5 vv vvv 1.2-v lvcmos single-ended jesd8-12a 1.2 1.2 vv vvv sstl-2 class i , sstl-2 class ii voltage referenced jesd8-9a 2.5 2.5 vv vvv sstl-18 class i , sstl-18 class ii voltage referenced jesd815 1.8 1.8 vv vvv hstl-18 class i , hstl-18 class ii voltage referenced jesd8-6 1.8 1.8 vv vvv hstl-15 class i , hstl-15 class ii voltage referenced jesd8-6 1.5 1.5 vv vvv hstl-12 class i voltage referenced jesd8-16a 1.2 1.2 vv vvv hstl-12 class ii (7) voltage referenced jesd8-16a 1.2 1.2 vv v ?? pci and pci-x single-ended ? 3.0 3.0 vv vvv differential sstl-2 class i or class ii differential (3) jesd8-9a ?2.5? v ?? ? 2.5 ? v ?? v ? differential sstl-18 class i or class ii differential (3) jesd815 ?1.8? v ?? ? 1.8 ? v ?? v ? differential hstl-18 class i or class ii differential (3) jesd8-6 ?1.8? v ?? ? 1.8 ? v ?? v ? differential hstl-15 class i or class ii differential (3) jesd8-6 ?1.5? v ?? ? 1.5 ? v ?? v ? differential hstl-12 class i or class ii differential (3) jesd8-16a ?1.2? v ?? ? 1.2 ? v ?? v ? ppds (4) differential ? ? 2.5 ? vv ? v
chapter 6: i/o features in the cyclone iii device family 6?13 termination scheme for i/o standards july 2012 altera corporation cyclone iii device handbook volume 1 the cyclone iii device family supports pci and pci-x i/o standards at 3.0-v v ccio . the 3.0-v pci and pci-x i/o are fully compatib le for direct interfacing with 3.3-v pci systems without requiring any additional components. the 3.0-v pci and pci-x outputs meet the v ih and v il requirements of 3.3-v pci and pci-x inputs with sufficient noise margin. f for more information about the 3.3/3.0/2.5-v lvttl and lvcmos multivolt i/o support, refer to an 447: interfacing cyclone iii and cyclone iv devices with 3.3/3.0/2.5-v lvttl/lvcmos i/o systems . termination scheme for i/o standards this section describes recommended termination schemes for voltage-referenced and differential i/o standards. the 3.3-v lvttl , 3.0-v lvttl and lvcmos , 2.5-v lvttl and lvcmos , 1.8-v lvttl and lvcmos , 1.5-v lvcmos , 1.2-v lvcmos , 3.0-v pci , and pci-x i/o standards do not specify a recommended termination scheme per the jedec standard. lvds (8) differential ? 2.5 2.5 vv vvv rsds and mini-lvds (4) differential ? ? 2.5 ? vv ? v blvds (6) differential ? 2.5 2.5 ? ? v ? v lvpecl (5) differential ? 2.5 ? v ?? v ? notes to table 6?4 : (1) the pci-clamp diode must be enabled for 3.3-v/3.0-v lvttl/lvcmos . (2) the cyclone iii architecture supports th e multivolt i/o interface feature that allo ws cyclone iii devices to interface with i/ o systems that have different supply voltages. (3) differential hstl and sstl outputs use two single-ended outputs with the second output programmed as inverted. differential hstl and sstl inputs treat differential inputs as two single-ended hstl and sstl inputs and only decode one of them. differential hstl and sstl are only supported on clk pins. (4) ppds , mini-lvds , and rsds are only supported on output pins. (5) lvpecl is only supported on clock inputs. (6) bus lvds ( blvds ) output uses two single-ended outputs with the second output programmed as inverted. blvds input uses lvds input buffer. (7) class i and class ii refer to output termination and do not apply to input. 1.2-v hstl input is supported at both co lumn and row i/o regardless of class. (8) true differential lvds , rsds , and mini-lvds i/o standards are supported in left and ri ght i/o pins while emulated differential lvds ( lvds_e_3r ), rsds ( rsds_e_3r ), and mini-lvds ( lvds_e_3r ) i/o standards are supported in both le ft and right, and top and bottom i/o pins. table 6?4. cyclone iii device family supported i/o standards and constraints (part 2 of 2) i/o standard type standard support v ccio level (in v) top and bottom i/o pins left and right i/o pins input output clk, dqs pll_out user i/o pins clk, dqs user i/o pins
6?14 chapter 6: i/o features in the cyclone iii device family termination scheme for i/o standards cyclone iii device handbook july 2012 altera corporation volume 1 voltage-referenced i/o standard termination voltage-referenced i/o standards require an input reference voltage (v ref ) and a termination voltage (v tt ). the reference voltage of the receiving device tracks the termination voltage of the transmitting device, as shown in figure 6?6 and figure 6?7 . figure 6?6. cyclone iii device family hstl i/o standard termination hstl class i hstl class ii external on-board termination oct with and without calibration v tt 50 ? 50 ? v tt 50 ? v tt 50 ? transmitter transmitter receiver receiver v tt 50 ? transmitter receiver v tt 50 ? v tt 50 ? transmitter receiver cyclone iii device family series oct 50 ? cyclone iii device family series oct 25 ? v ref v ref v ref v ref termination 50 ? 50 ? 50 ? sstl class i sstl class ii external on-board termination oct with and without calibration v tt 50 ? 25 ? v tt 50 ? 25 ? v tt 50 ? transmitter transmitter receiver receiver v tt 50 ? 50 ? transmitter receiver cyclone iii device family series oct 50 ? v tt 50 ? 25 ? 50 ? v tt 50 ? transmitter receiver cyclone iii device family series oct v ref v ref v ref v ref termination 50 ? 50 ?
chapter 6: i/o features in the cyclone iii device family 6?15 termination scheme for i/o standards july 2012 altera corporation cyclone iii device handbook volume 1 differential i/o standard termination differential i/o standards typically require a termination resistor between the two signals at the receiver. the termination re sistor must match the differential load impedance of the bus ( figure 6?8 and figure 6?9 ). the cyclone iii device family supports differential sstl-2 and sstl-18 , differential hstl-18 , hstl-15 , and hstl-12 , ppds , lvds , rsds , mini-lvds , and differential lvpecl . figure 6?8. cyclone iii device family differential hstl i/o standard termination external on-board termination oct transmitter receiver v tt v tt transmitter receiver v tt v tt cyclone iii device family series oct 50 ? differential hstl termination figure 6?9. cyclone iii device family differential sstl i/o standard termination (1) note to figure 6?9 : (1) only differential sstl-2 i/o st andard supports class ii output. differential sstl class i differential sstl class ii external on-board termination oct transmitter receiver 50 ? 50 ? 50 ? 50 ?? v tt v tt 25 ? 25 ? transmitter receiver 50 ? 50 ? 50 ? 50 ? v tt v tt 25 ? 25 ? 50 ? 50 ? v tt v tt transmitter receiver 50 ? 50 ?? 50 ? 50 ? v tt v tt 50 ? 50 ? v tt v tt cyclone iii device family series oct 25 ? transmitter receiver 50 ? 50 ? 50 ? 50 ? v tt v tt 50 ? cyclone iii device family series oct termination
6?16 chapter 6: i/o features in the cyclone iii device family i/o banks cyclone iii device handbook july 2012 altera corporation volume 1 f for information about the cyclone iii device family differential ppds , lvds , mini lvds , rsds i/o, and bus lvds ( blvds ) standard termination, refer to the high-speed differential interfaces in the cyclone iii device family chapter. i/o banks i/o pins on the cyclone iii device family are grouped together into i/o banks, and each bank has a separate power bus. cycl one iii and cyclone iii ls devices have eight i/o banks, as shown in figure 6?10 . each device i/o pin is associated with one i/o bank. all single-ended i/o standards are supported in all banks except hstl-12 class ii , which is only supported in column i/o banks. all differential i/o standards are supported in all banks. the only exception is hstl-12 class ii , which is only supported in column i/o banks. figure 6?10. cyclone iii device family i/o banks (1) , (2) notes to figure 6?10 : (1) this is a top view of the silicon die. this is only a graphical re presentation. for exact pin lo cations, refer to the pin li st and the quartus ii software. (2) true differential ( ppds , lvds , mini-lvds , and rsds i/o standards) outputs are supported in row i/o banks 1, 2, 5, and 6 only. external resistors are needed for the differential outputs in column i/o banks. (3) the lvpecl i/o standard is only supported on clock input pins. this i/o standard is not supported on output pins. (4) the hstl-12 class ii is supported in column i/o banks 3, 4, 7, and 8 only. (5) the differential sstl-18 and sstl-2 , differential hstl-18 , and hstl-15 i/o standards are supported only on clock input pins and phase-locked loops (plls) output clock pins. differential sstl-18 , differential hstl-18 , and hstl-15 i/o standards do not support class ii output. (6) the differential hstl-12 i/o standard is only supported on clock input pins and pll output clock pins. differential hstl-12 class ii is supported only in column i/o banks 3, 4, 7, and 8. (7) blvds output uses two single-ended outputs with the sec ond output programmed as inverted. blvds input uses the lvds input buffer. all i/o banks support: 3.3-v lvttl/lvcmos 3.0-v lvttl/lvcmos 2.5-v lvttl/lvcmos 1.8-v lvttl/lvcmos 1.5-v lvcmos 1.2-v lvcmos ppds lv d s rsds mini-lvds bus lvds ( 7) lvpecl (3) sstl-2 class i and ii sstl-18 class i and ii hstl-18 class i and ii hstl-15 class i and ii hstl-12 class i and ii (4) differential sstl-2 (5) differential sstl-18 (5) differential hstl-18 (5) differential hstl-15 (5) differential hstl-12 (6) i/o bank 8 i/o bank 7 i/o bank 3 i/o bank 4 i/o bank 2 i/o bank 1 i/o bank 5 i/o bank 6
chapter 6: i/o features in the cyclone iii device family 6?17 i/o banks july 2012 altera corporation cyclone iii device handbook volume 1 table 6?5 lists the i/o standards supported when a pin is used as a regular i/o pin in the i/o banks of the cyclone iii device family. each i/o bank of the cyclon e iii device family has a vref bus to accommodate voltage-referenced i/o standards. each vref pin is the reference source for its v ref group. if you use a v ref group for voltage-referenced i/o standards, connect the vref pin for that group to the appropriate volt age level. if you do not use all the v ref groups in the i/o bank for voltage referenced i/o standards, you can use the vref pin in the unused voltage referenced groups as regular i/o pins. for example, if you have sstl-2 class i input pins in i/o bank 1 and they are all placed in the vrefb1n0 group, vrefb1n0 must be powered with 1.25 v, and the remaining vrefb1n[1:3] pins (if available) are used as i/o pins. if multiple v ref groups are used in the same i/o bank, the vref pins must all be powered by the same voltage level because the vref pins are shorted together within the same i/o bank. table 6?5. cyclone iii device family i/o standards support i/o standard i/o banks 12345678 3.3-v lvttl / lvcmos , 3.0-v lvttl / lvcmos , 2.5-v lvttl / lvcmos , 1.8-v lvttl / lvcmos , 1.5-v lvcmos , 1.2v lvcmos , 3.0-v pci / pci-x v vvvvvvv sstl-18 class i/ii , sstl-2 class i/ii , hstl-18 class i/ii , hstl-15 class i/ii , hstl-12 class i v vvvvvvv hstl-12 class ii ?? vv ?? vv differential sstl-2 , differential sstl-18 , differential hstl-18 , differential hstl-15 , differential hstl-12 (1) (1) (1) (1) (1) (1) (1) (1) ppds (2) , (3) (3) (3) (3) (3) (3) (3) (3) (3) lvds (2) v vvvvvvv blvds v vvvvvvv rsds and mini-lvds (2) (3) (3) (3) (3) (3) (3) (3) (3) differential lvpecl (4) (4) (4) (4) (4) (4) (4) (4) notes to table 6?5 : (1) these differential i/o standards are supp orted only for clock inputs and dedicated pll_out outputs. (2) true differential ( ppds , lvds , mini-lvds , and rsds i/o standards) outputs are supported in row i/o banks only. differential outputs in column i/o banks require an external resistors network. (3) this i/o standard is supported for outputs only. (4) this i/o standard is suppo rted for clock inputs only.
6?18 chapter 6: i/o features in the cyclone iii device family i/o banks cyclone iii device handbook july 2012 altera corporation volume 1 1 when vref pins are used as regular i/os, they have higher pin capacitance than regular user i/o pins. this has an impact on the timing if the pins are used as inputs and outputs. f for more information about vref pin capacitance, refer to the pin capacitance section in the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. f for more information about how to identify v ref groups, refer to the cyclone iii device family pin-out files or the quartus ii pin planner tool. table 6?6 lists the number of vref pins in each i/o bank for cyclone iii and cyclone iii ls devices. table 6?6. number of vref pins per i/o banks for cyclone iii and cyclone iii ls devices (part 1 of 2) family device package pin count i/o banks 12345678 cyclone iii ep3c5 eqfp 144 11111111 mbga 164 11111111 fbga 256 11111111 ep3c10 eqfp 144 11111111 mbga 164 11111111 fbga 256 11111111 ep3c16 eqfp 144 22222222 mbga 164 22222222 pqfp 240 22222222 fbga 256 22222222 fbga 484 22222222 ep3c25 eqfp 144 11111111 pqfp 240 11111111 fbga 256 11111111 fbga 324 11111111 ep3c40 pqfp 240 44444444 fbga 324 44444444 fbga 484 44444444 fbga 780 44444444 ep3c55 fbga 484 22222222 fbga 780 22222222 ep3c80 fbga 484 33333333 fbga 780 33333333 ep3c120 fbga 484 33333333 fbga 780 33333333
chapter 6: i/o features in the cyclone iii device family 6?19 i/o banks july 2012 altera corporation cyclone iii device handbook volume 1 each i/o bank of the cyclone i ii device family has its own vccio pins. each i/o bank can support only one v ccio setting from among 1.2, 1.5, 1.8, 3.0, or 3.3 v. any number of supported single-ended or differential standards can be simultaneously supported in a single i/o bank, as long as they use the same v ccio levels for input and output pins. when designing lvttl / lvcmos inputs with cyclone iii and cyclone iii ls devices, refer to the following guidelines: all pins accept input voltage (v i ) up to a maximum limit (3.6 v), as stated in the recommended operating conditions are provided in the cyclone iii device data sheet and cyclone iii ls device data sheet chapters . whenever the input level is higher than the bank v ccio , expect higher leakage current. the lvttl / lvcmos i/o standard input pins can only meet the v ih and v il levels according to bank voltage level. voltage-referenced standards are supported in an i/o bank using any number of single-ended or differential standards, as long as they use the same v ref and v ccio values. for example, if you choose to implement both sstl-2 and sstl-18 in your cyclone iii and cyclone iii ls devices, i/ o pins using these standards?because they require different v ref values?must be in different banks from each other. however, the same i/o bank can support sstl-2 and 2.5-v lvcmos with the v ccio set to 2.5 v and the v ref set to 1.25 v. 1 when using cyclone iii and cyclone iii ls devi ces as a receiver in 3.3-, 3.0-, or 2.5-v lvttl / lvcmos systems, you are responsible for managing overshoot or undershoot to stay in the absolute maximum ratings and the recommended operating conditions, provided in the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. 1 the pci clamping diode is enabled by default in the quartus ii software for input signals with bank v ccio at 2.5, 3.0, or 3.3 v. cyclone iii ls ep3cls70 ubga 278 33333333 fbga 278 33333333 fbga 413 33333333 ep3cls100 ubga 278 33333333 fbga 278 33333333 fbga 413 33333333 ep3cls150 fbga 210 33333333 fbga 413 33333333 ep3cls200 fbga 210 33333333 fbga 413 33333333 table 6?6. number of vref pins per i/o banks for cyclone iii and cyclone iii ls devices (part 2 of 2) family device package pin count i/o banks 12345678
6?20 chapter 6: i/o features in the cyclone iii device family i/o banks cyclone iii device handbook july 2012 altera corporation volume 1 f for more information about the cyclone iii de vice family i/o interface with 3.3-, 3.0-, or 2.5-v lvttl / lvcmos systems, refer to an 447: interfacing cyclone iii and cyclone iv devices with 3.3/3.0/ 2.5-v lvttl/lvcmos i/o systems . high-speed differential interfaces the cyclone iii device family can send and receive data through lvds signals. for the lvds transmitter and receiver, the input and output pins of the cyclone iii device family support serialization and dese rialization through internal logic. the blvds extends the benefits of lvds to multipoint applications such as in bidirectional backplanes. the loading effect and the need to terminate the bus at both ends for multipoint applications require blvds to drive out a higher current than lvds to produce a comparable voltage swing. all the i/o banks of the cyclone iii device family support blvds for user i/o pins. the reduced swing differential signaling ( rsds ) and mini-lvds standards are derivatives of the lvds standard. the rsds and mini-lvds i/o standards are similar in electrical characteristics to lvds , but have a smaller voltage swing and therefore provide increased power benefits and reduced electromagnetic interference (emi). the point-to-point differential signaling ( ppds ) standard is the next generation of the rsds standard introduced by national semi conductor corporation. the cyclone iii device family meets the national semi conductor corporation ppds interface specification and supports the ppds standard for outputs only. all the i/o banks of the cyclone iii device family support the ppds standard for output pins only. you can use i/o pins and internal logic to implement the lvds i/o receiver and transmitter in the cyclone iii device family . cyclone iii and cyclone iii ls devices do not contain dedicated serialization or dese rialization circuitry. therefore, shift registers, internal plls, and ioes are used to perform serial-to- parallel conversions on incoming data and parallel-to-se rial conversion on outgoing data. the lvds standard does not require an input reference voltage, but it does require a 100- ? termination resistor between the two signals at the input buffer. an external resistor network is required on the tran smitter side for top and bottom i/o banks. f for more information about the cyclone iii device family high-speed differential interface support, refer to the high-speed differential interfa ces in the cyclone iii device family chapter . external memory interfacing the cyclone iii device family supports i/o standards required to interface with a broad range of external memory interfac es, such as ddr sdram, ddr2 sdram, and qdrii sram. f for more information about the cyclone iii device family external memory interface support, refer to the external memory interfaces in the cyclone iii device family chapter .
chapter 6: i/o features in the cyclone iii device family 6?21 pad placement and dc guidelines july 2012 altera corporation cyclone iii device handbook volume 1 pad placement and dc guidelines pad placement altera recommends that you create a quartus ii design, enter your device i/o assignments, and compile your design to validate your pin placement. the quartus ii software checks your pin connections wi th respect to the i/o assignment and placement rules to ensure proper device operation. these rules are dependent on device density, package, i/o assignments, voltage assignme nts, and other factors that are not fully described in this chapter. f for more information about how the quartus ii software checks i/o restrictions, refer to the i/o management chapter in volume 2 of the quartus ii handbook . dc guidelines for the quartus ii software to automatically check for illegally placed pads according to the dc guidelines, set the dc current sink or source value to electromigration current assignment on each of the output pi ns that are connected to the external resistive load. the programmable current strength setting has an impact on the amount of dc current that an output pin can source or si nk. determine if the current strength setting is sufficient for the external resistive load condition on the output pin. document revision history table 6?7 lists the revision history for this document. table 6?7. document revision history (part 1 of 3) date version changes july 2012 3.4 updated oct with or without calibration note in ?slew rate control? section, december 2011 3.3 updated table 6?1 and table 6?4. updated ?programmable pull-up resistor? on page 6?5, ?oct support? on page 6?7, and ?i/o standards? on page 6?11. updated hyperlinks. minor text edits. december 2009 3.2 minor changes to the text. july 2009 3.1 made minor correction to the part number. june 2009 3.0 updated to include cyclone iii ls information updated chapter part number. updated ?introduction? on page 6?1, ?pci-clamp diode? on page 6?6, ?on-chip series termination without calibration? on page 6?10, ?i/o standards? on page 6?11, ?i/o banks? on page 6?16, ?high-speed differential interfaces? on page 6?20, and ?external memory interfacing? on page 6?20. updated table 6?6 on page 6?18.
6?22 chapter 6: i/o features in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1 october 2008 2.1 added (note 6) to table 6?5. updated the ?i/o banks? section. updated the ?differential pad placement guidelines? section. updated the ?vref pad placement guidelines? section. removed any mention of ?rsds and ppds are registered trademarks of national semiconductor? from chapter. updated chapter to new template. may 2008 2.0 changes include addition of blvds information. added an introduction to ?i/o element features? section. updated ?slew rate control? section. updated ?programmable delay? section. updated table 6?1 with blvds information. updated table 6?2. updated ?pci-clamp diode? section. updated ?lvds transmitter programmable pre-emphasis? section. updated ?on-chip termination with calibration? section and added new figure 6?9. updated table 6?3 title. updated table 6?4 unit. updated ?i/o standards? section and table 6?5 with blvds information and added (note 5) . updated ?differential i/o standard termination? section with blvds information. updated ?i/o banks? section. updated (note 2) and added (note 7) and blvds information to figure 6?15. updated (note 2) and added blvds information to table 6?6. added mbga package information to table 6?7. deleted table 6-8. updated ?high-speed differential interfaces? section with blvds information. updated ?differential pad placement guidelines? section and added new figure 6?16. updated ?vref pad placement guidelines? section and added new figure 6?17. updated table 6?11. added new ?dclk pad placement guidelines? section. updated ?dc guidelines? section. table 6?7. document revision history (part 2 of 3) date version changes
chapter 6: i/o features in the cyclone iii device family 6?23 document revision history july 2012 altera corporation cyclone iii device handbook volume 1 july 2007 1.1 updated feetpara note in ?programmable current strength? section. updated feetpara note in ?slew rate control? section. updated feetpara note in ?open-drain output? section. updated feetpara note in ?bus hold? section. updated feetpara note in ?programmable pull-up resistor? section. updated feetpara note in ?pci-clamp diode? section. updated figure 6?13. updated figure 6?14 and added note (1). updated ?i/o banks? section. updated note (5) to figure 6?15. updated ?ddr/ddr2 and qdrii pads? sect ion and corrected ?cms? to ?cmd?. updated note 3 in table 6-8. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release. table 6?7. document revision history (part 3 of 3) date version changes
6?24 chapter 6: i/o features in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1
ciii51008-4.0 ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 december 2011 subscribe iso 9001:2008 registered 7. high-speed differential interfaces in the cyclone iii device family this chapter describes the high-speed differ ential i/o features and resources in the cyclone iii device family. high-speed differential i/o standards have become popular in high-speed interfaces because of their significant advantages ov er single-ended i/o standards. the altera ? cyclone ? iii device family (cyclone iii and cyclone iii ls devices) supports lvds, blvds, reduced swing differential signaling (rsds), mini-lvds, and point-to-point differential signaling (ppds). this chapter contains the following sections: ?high-speed i/o interface? on page 7?1 ?high-speed i/o standards support? on page 7?7 ?true output buffer feature? on page 7?15 ?high-speed i/o timing? on page 7?16 ?design guidelines? on page 7?17 ?software overview? on page 7?18 high-speed i/o interface cyclone iii device family i/os are separated into eight i/o banks, as shown in figure 7?1 . each bank has an independent powe r supply. true output drivers for lvds, rsds, mini-lvds, and ppds are on the left and right i/o banks. these i/o standards are also supported on the top and bottom i/o banks using external resistors. on the left and right i/o banks, some of the differential pin pairs ( p and n pins) of the true output drivers are not located on adjacent pins. in these cases, a power pin is located between the p and n pins. these i/o standards are also supported on all i/o banks using two single -ended output with the second output programmed as inverted, and an external resistor network. true input buffers for these i/o standards are supported on all i/o banks. f for more information about the location of cy clone iii device family true differential pins, refer to the pin-out files for altera devices webpage on the altera website. december 2011 ciii51008-4.0
7?2 chapter 7: high-speed di fferential interfaces in the cyclone iii device family high-speed i/o interface cyclone iii device handbook december 2011 altera corporation volume 1 figure 7?1 shows the i/o banks of the cyclone iii device family. figure 7?1. cyclone iii device family i/o banks notes to figure 7?1 : (1) the pci-x i/o standard does not meet the iv curve requirement at the linear region. (2) the rsds, mini-lvds, and ppds i/o standa rds are only supported on output pins. th ese i/o standards are not supported on inpu t pins. (3) the lvpecl i/o standard is only supported on dedicated clo ck input pins. this i/o standard is not supported on output pins. (4) the differential sstl-2, sstl-18, hstl-18, hstl-15, and hstl -12 i/o standards are only supported on dedicated clock input pi ns and pll output clock pins. pll output clock pins do not support class ii interface type of differential sst l-18, hstl-18, hstl-15, and h stl-12 i/o standards. (5) blvds output uses two single-ended outputs with the second outp ut programmed as inverted. blvd s input uses lvds input buffer . all i/o banks support: 3.3-v lvttl/lvcmos 3.0-v lvttl/lvcmos 2.5-v lvttl/lvcmos 1.8-v lvttl/lvcmos 1.5-v lvcmos 1.2-v lvcmos 3.0-v pci/pci-x (1) lvds rsds (2) blvds (5) mini-lvds (2) ppds (2) lvpecl (3) sstl-2 class i and ii sstl-18 class i and ii hstl-18 class i and ii hstl-15 class i and ii hstl-12 class i differential sstl-2 (4) differential sstl-18 (4) differential hstl-18 (4) differential hstl-15 (4) differential hstl-12 (4) i/o bank 8 i/o bank 7 i/o bank 3 i/o bank 4 i/o bank 2 i/o bank 1 i/o bank 5 i/o bank 6 i/o banks 7 and 8 also support the hstl-12 class ii i/o standard i/o banks 3 and 4 also support the hstl-12 class ii i/o standard
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?3 high-speed i/o interface december 2011 altera corporation cyclone iii device handbook volume 1 table 7?1 lists which i/o bank supports these i/o standards in the cyclone iii device family. you can use i/o pins and internal logic to implement a high-speed differential interface in the cyclone iii device family . the cyclone iii device family does not contain dedicated serialization or deserialization circuitry. therefore, shift registers, internal phase-locked lo ops (plls), and i/o cells are used to perform serial-to-parallel conversions on incoming data and parall el-to-serial conversion on outgoing data. the differential interface da ta serializers and de serializers (serdes) are automatically constructed in the core logic elements (les) with the quartus ? ii software altlvds megafunction. table 7?1. differential i/o standards supported in cyclone iii device family i/o banks differential i/o standards i/o bank location external resistor network at transmitter transmitter (tx) receiver (rx) lvds 1,2,5,6 not required yes yes all three resistors rsds 1,2,5,6 not required yes not supported 3, 4, 7, 8 three resistors all single resistor mini-lvds 1,2,5,6 not required yes not supported all three resistors ppds 1,2,5,6 not required yes not supported all three resistors blvds (1) all single resistor yes yes lvpecl (2) all na not supported yes differential sstl-2 (3) all na yes yes differential sstl-18 (3) all na yes yes differential hstl-18 (3) all na yes yes differential hstl-15 (3) all na yes yes differential hstl-12 (3) all na yes yes notes to table 7?1 : (1) transmitter and receiver f max depend on system topology and performance requirement. (2) the lvpecl i/o standard is only su pported on dedicated clock input pins. (3) the differential sstl-2, sstl-18, hstl-18, hstl-15, and hstl-12 i/o standards are only supported on clock input pins and pll output clock pins. pll output clock pins do not support class ii interface type of differential sstl- 18, hstl-18, hstl-15, and hstl-12 i/o st andards.
7?4 chapter 7: high-speed di fferential interfaces in the cyclone iii device family high-speed i/o interface cyclone iii device handbook december 2011 altera corporation volume 1 table 7?2 lists the total number of supported row and column differential channels in the cyclone iii device family. table 7?2. cyclone iii device family differential channels (part 1 of 2) cyclone iii device family device package number of differential channels (1) , (2) user i/o clock input clock output total cyclone iii devices ep3c5 e144 16 4 2 22 f256 62 4 2 68 m164 22 4 2 28 u256 62 4 2 68 ep3c10 e144 16 4 2 22 f256 62 4 2 68 m164 22 4 2 28 u256 62 4 2 68 ep3c16 e144 7 8 4 19 e240 35 8 4 47 f256 43 8 4 55 f484 128 8 4 140 m164 11 8 4 23 u256 43 8 4 55 u484 128 8 4 140 ep3c25 e144 6 8 4 18 e240 31 8 4 43 f256 42 8 4 54 f324 71 8 4 83 u256 42 8 4 54 ep3c40 e240 14 8 4 26 f324 49 8 4 61 f484 115 8 4 127 f780 215 8 4 227 u484 115 8 4 127 ep3c55 f484 123 8 4 135 f780 151 8 4 163 u484 123 8 4 135 ep3c80 f484 101 8 4 113 f780 169 8 4 181 u484 101 8 4 113 ep3c120 f484 94 8 4 106 f780 221 8 4 233
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?5 high-speed i/o interface december 2011 altera corporation cyclone iii device handbook volume 1 table 7?3 lists the numbers of di fferential channels that can be migrated in cyclone iii devices. cyclone iii ls devices ep3cls70 u484 101 8 4 113 f484 101 8 4 113 f780 169 8 4 181 ep3cls100 u484 101 8 4 113 f484 101 8 4 113 f780 169 8 4 181 ep3cls150 f484 75 8 4 87 f780 169 8 4 181 ep3cls200 f484 75 8 4 87 f780 169 8 4 181 notes to table 7?2 : (1) user i/o pins are used as inputs or outp uts; clock input pins are used as inputs only; clock output pins are used as output only. (2) for differential pad placemen t guidelines, refer to the i/o features in the cyclone iii device family chapter. table 7?2. cyclone iii device family differential channels (part 2 of 2) cyclone iii device family device package number of differential channels (1) , (2) user i/o clock input clock output total table 7?3. cyclone iii devices migratable differential channels (1) (part 1 of 2) package type migration between devices migratable channels user i/o clk total e144 ep3c5 and ep3c10 16 4 20 ep3c5 and ep3c16 5 4 9 ep3c5 and ep3c25 6 4 10 ep3c10 and ep3c16 5 4 9 ep3c10 and ep3c25 6 4 10 ep3c16 and ep3c25 5 8 13 m164 ep3c5 and ep3c10 22 4 26 ep3c5 and ep3c16 11 4 15 ep3c10 and ep3c16 19 4 14 q240 ep3c16 and ep3c25 23 8 31 ep3c16 and ep3c40 11 8 19 ep3c25 and ep3c40 12 8 20 f256 ep3c5 and ep3c10 62 4 66 ep3c5 and ep3c16 39 4 43 ep3c5 and ep3c25 40 4 44 ep3c10 and ep3c16 39 4 43 ep3c10 and ep3c25 40 4 44 ep3c16 and ep3c25 33 8 41
7?6 chapter 7: high-speed di fferential interfaces in the cyclone iii device family high-speed i/o interface cyclone iii device handbook december 2011 altera corporation volume 1 u256 ep3c5 and ep3c10 62 4 66 ep3c5 and ep3c16 39 4 43 ep3c5 and ep3c25 40 4 44 ep3c10 and ep3c16 39 4 43 ep3c10 and ep3c25 40 4 44 ep3c16 and ep3c25 33 8 41 f324 ep3c25 and ep3c40 47 8 55 f484 ep3c16 and ep3c40 102 8 110 ep3c16 and ep3c55 98 8 106 ep3c16 and ep3c80 79 8 87 ep3c16 and ep3c120 72 8 80 ep3c40 and ep3c55 102 8 110 ep3c40 and ep3c80 84 8 92 ep3c40 and ep3c120 74 8 82 ep3c55 and ep3c80 98 8 106 ep3c55 and ep3c120 85 8 93 ep3c80 and ep3c120 88 8 96 u484 ep3c16 and ep3c40 102 8 110 ep3c16 and ep3c55 98 8 106 ep3c16 and ep3c80 79 8 87 ep3c40 and ep3c55 102 8 110 ep3c40 and ep3c80 84 8 92 ep3c55 and ep3c80 98 8 106 f780 ep3c40 and ep3c55 46 8 54 ep3c40 and ep3c80 51 8 59 ep3c40 and ep3c120 54 8 62 ep3c55 and ep3c80 144 8 152 ep3c55 and ep3c120 142 8 150 ep3c80 and ep3c120 160 8 168 note to table 7?3 : (1) the migratable differential channels for cyclone iii devices are not directly migratable to cyclone iii ls devices and vice versa. table 7?3. cyclone iii devices migratable differential channels (1) (part 2 of 2) package type migration between devices migratable channels user i/o clk total
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?7 high-speed i/o standards support december 2011 altera corporation cyclone iii device handbook volume 1 table 7?4 lists the numbers of di fferential channels that can be migrated in cyclone iii ls devices. high-speed i/o st andards support this section provides information about the high-speed i/o standards supported in the cyclone iii device family. lvds i/o standard support in the cyclone iii device family the lvds i/o standard is a high-speed, low-voltage swing, low power, and general purpose i/o interface standard. the cyclone iii device family meets the ansi/tia/eia-644 standard with the following exceptions: the maximum differential output voltage (v od ) is increased to 600 mv. the maximum v od for ansi specification is 450 mv. the input voltage range is reduced to the ra nge of 1.0 v to 1.6 v, 0.5 v to 1.85 v, or 0 v to 1.8 v based on different freq uency ranges. the ansi/tia/eia-644 specification supports an input voltage range of 0 v to 2.4 v. f for more information about the lvds i/o standard electrical specifications in the cyclone iii device family, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. table 7?4. cyclone iii ls devices migratable differential channels (1) package type migration between devices migratable channels user i/o clock input clock output total u484 ep3cls70 and ep3cls100 101 8 4 113 f484 ep3cls70 and ep3cls100 101 8 4 113 ep3cls70 and ep3cls150 71 8 4 83 ep3cls70 and ep3cls200 71 8 4 83 ep3cls100 and ep3cls150 71 8 4 83 ep3cls100 and ep3cls200 71 8 4 83 ep3cls150 and ep3cls200 75 8 4 87 f780 ep3cls70 and ep3cls100 169 8 4 181 ep3cls70 and ep3cls150 169 8 4 181 ep3cls70 and ep3cls200 169 8 4 181 ep3cls100 and ep3cls150 169 8 4 181 ep3cls100 and ep3cls200 169 8 4 181 ep3cls150 and ep3cls200 169 8 4 181 note to table 7?4 : (1) the migratable differential channels for cyclone iii devices are not directly migratable to cyclone iii ls devices and vice versa.
7?8 chapter 7: high-speed di fferential interfaces in the cyclone iii device family high-speed i/o standards support cyclone iii device handbook december 2011 altera corporation volume 1 designing with lvds cyclone iii device family i/o banks support lvds i/o standard. the left and right i/o banks support true lvds transmitters . on the top and bottom i/o banks, the emulated lvds transmitters are supported using two single-ended output buffers with external resistors. one of the single-ended output buffers is programmed to have opposite polarity. the lvds receiver requires an external 100- ? termination resistor between the two signals at the input buffer. figure 7?2 shows a point-to-point lvds interface using cyclone iii device family true lvds output and input buffers. figure 7?3 shows a point-to-point lvds interf ace with cyclone iii device family lvds using two single-ended output buffers and external resistors. blvds i/o standard support in the cyclone iii device family the blvds i/o standard is a high-speed di fferential data transmission technology that extends the benefits of standard point- to-point lvds to multipoint configuration that supports bidirectional half-duplex co mmunication. blvds differs from standard lvds by providing a higher drive to achieve similar signal swings at the receiver while loaded with two terminatio ns at both ends of the bus. figure 7?2. cyclone iii device family lvds interface with true output buffer on the left and right i/o banks transmitting de v ice cyclone iii de v ice family 100 cyclone iii de v ice family logic array 100 inp u t b u ffer o u tp u t b u ffer recei v ing de v ice txo u t + txo u t - rxin + rxin - txo u t + txo u t - rxin + rxin - 50 50 50 50 (1) note to figure 7?3 : (1) r s = 120 ? ; r p =170 ? l v ds recei v er 100 50 cyclo n e iii device family resistor n et w ork em u lated l v ds transmitter r s r p r s 50
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?9 high-speed i/o standards support december 2011 altera corporation cyclone iii device handbook volume 1 figure 7?4 shows a typical blvds topology with multiple transmitter and receiver pairs. the blvds i/o standard is supported on all i/o banks of the cyclone iii device family. the blvds transmitter uses two single-ended output buffers with the second output buffer programmed as inverted, wh ile the blvds receiver uses a true lvds input buffer. the transmitter and receiver share the same pins. an output-enabled ( oe ) signal is required to tristate the output bu ffers when the lvds input buffer receives a signal. f for more information about blvds i/o features and electrical specifications, refer to the i/o features in the cyclone iii device family chapter in volume 1 of the cyclone iii device handbook and the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. f for more information and design examples about implementing the blvds interfaces in the cyclone iii device family, refer to an 522: implementing bus lvds interface in supported altera device families . designing with blvds the blvds bidirectional communication requir es termination at bo th ends of the bus in blvds. the termination resistor (r t ) must match the bus differential impedance, which in turn depends on the loading on the bus. increasing the load decreases the bus differential impedance. with termination at both ends of the bus, termination is not required between the two signals at the input buffer. a single series resistor (r s ) is required at the output buffer to matc h the output buffer impedance to the transmission line impedance. however, this series resistor affects the voltage swing at the input buffer. the maximum data rate achievable depends on many factors. 1 altera recommends that you perform simulation using the ibis model while considering factors such as bus loading, termination values, and output and input buffer location on the bus to ensure th at the required performance is achieved. figure 7?4. blvds topology with cyclone iii device family transmitters and receivers v cc r t 50 100 k 100 k g n d o u tp u t data inp u t data cyclone iii de v ice family oe r s r s o u tp u t data inp u t data cyclone iii de v ice family oe r s r s o u tp u t data inp u t data cyclone iii de v ice family oe r s r s v cc r t 100 k 100 k g n d 50 50 50 50 50 50 50 50 50 50 50 50 50
7?10 chapter 7: high-speed differential in terfaces in the cyclone iii device family high-speed i/o standards support cyclone iii device handbook december 2011 altera corporation volume 1 rsds, mini-lvds, and ppds i/o standard support in the cyclone iii device family the rsds, mini-lvds, and ppds i/o standards are used in chip-to-chip applications between the timing controller and the column drivers on the display panels such as lcd monitor panels and lcd televisions. the cyclone iii device family meets the national semiconductor corporation rsds in terface specification, texas instruments mini-lvds interface specification, and na tional semiconductor corporation ppds interface specification to support rsds, mini-lvds and ppds output standards, respectively. f for more information about the cyclone i ii device family rsds, mini-lvds, and ppds output electrical specifications, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. f for more information about the rsds i/o standard, refer to the rsds specification from the national semiconductor website ( www.national.com ). designing with rsds, mini-lvds, and ppds cyclone iii device family i/o banks suppo rt rsds, mini-lvds, and ppds output standards. the left and right i/o banks support true rsds, mini-lvds, and ppds transmitters. on the top and bottom i/ o banks, rsds, mini-lvds, and ppds transmitters are supported using two sing le-ended output buffers with external resistors. the two-single ended output bu ffers are programmed to have opposite polarity. figure 7?5 shows a rsds, mini-lvds, or ppds in terface with a true output buffer. figure 7?5. cyclone iii device family rsds, mini-lvds, or ppds in terface with true output buffer on the left and right i/o banks cyclone iii device family 100 ? 50 ? 50 ? true rsds, mini-lvds, or ppds transmitter rsds, mini-lvds, or ppds receiver
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?11 high-speed i/o standards support december 2011 altera corporation cyclone iii device handbook volume 1 figure 7?6 shows a rsds, mini-lvds, or ppds interface with two singled-ended output buffers and external resistors. a resistor network is required to attenuat e the output voltage swing to meet rsds, mini-lvds, and ppds specifications when using emulated transmitters. you can modify the resistor network values to re duce power or improve the noise margin. the resistor values chosen must satisfy equation 7?1 . 1 altera recommends that you perform simulati ons using cyclone iii device family ibis models to validate that custom resistor values meet the rsds, mini-lvds, or ppds requirements. you can use a single external resistor instead of using three resistors in the resistor network for an rsds interface, as shown in figure 7?7 . the external single-resistor solution reduces the external resistor count while still achieving the required signaling level for rsds. however, the performance of the single-resistor solution is lower than the performance with the three-resistor network. figure 7?6. rsds, mini-lvds, or ppds interface with external resistor network on the top and bottom i/o banks (1) note to figure 7?6 : (1) r s = 120 ? ; r p =170 ? 100 50 cyclone iii device family resis t o r ne t wo r k r s r p r s 50 em u lated rsds, mini-l v ds, or ppds transmitter rsds, mini-l v ds, or ppds recei v er equation 7?1. r s r p 2 ------ - ? r s r p 2 ------ - + -------------------- 50 ? =
7?12 chapter 7: high-speed differential in terfaces in the cyclone iii device family high-speed i/o standards support cyclone iii device handbook december 2011 altera corporation volume 1 figure 7?7 shows the rsds interface with a single resistor network on the top and bottom i/o banks. lvpecl i/o support in the cyclone iii device family the lvpecl i/o standard is a differential interface standard that requires a 2.5-v v ccio. this standard is used in applications involving video graphics, telecommunications, data co mmunications, and clock di stribution. the cyclone iii device family supports the lvpecl input st andard at the dedicated clock input pins only. the lvpecl receiver requires an external 100- ? termination resistor between the two signals at the input buffer. f for more information about the lvpecl i/o st andard electrical specification, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. ac coupling is required when the lvpe cl common mode voltage of the output buffer is higher than the cyclone iii device family lvpecl input common mode voltage. figure 7?8 shows the ac-coupled termination scheme. the 50- ? resistors used at the receiver are external to the device. dc-coupled lvpecl is supported if the lvpecl output common mode voltage is in the cyclon e iii device family lvpecl input buffer specification ( figure 7?9 ). figure 7?7. rsds interface with single resistor network on the top and bottom i/o banks note to figure 7?7 : (1) r p = 100 ? rsds recei v er 100 50 cyclone iii device family single resistor n et w ork em u lated rsds transmitter r p 50 figure 7?8. lvpecl ac-coupled termination cyclone iii device family lvpecl receiver 50 ? 50 ? v icm z 0 = 50 ? z 0 = 50 ? lvpecl transmitter 0.1 f 0.1 f
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?13 high-speed i/o standards support december 2011 altera corporation cyclone iii device handbook volume 1 figure 7?9 shows the lvpecl dc-coupled termination. differential sstl i/o stan dard support in the cyclone iii device family the differential sstl i/o standard is a me mory-bus standard used for applications such as high-speed ddr sdram interfaces . the cyclone iii devi ce family supports differential sstl-2 and sstl-18 i/o standard s. the differential sstl output standard is only supported at pll#_clkout pins using two single-ended sstl output buffers ( pll#_clkoutp and pll#_clkoutn ), with the second output programmed to have opposite polarity. the differential sstl input standard is supported on the gclk pins only, treating differential inputs as two single-ended sstl and only decoding one of them. the differential sstl i/o standard requires two differential inputs with an external reference voltage ( vref ) as well as an external termination voltage ( vtt ) of 0.5 v ccio to which termination resistors are connected. f for more information about the differential ss tl electrical specifications, refer to the i/o features in the cyclone iii device family chapter and the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. figure 7?10 shows the differential sstl class i interface. figure 7?9. lvpecl dc-coupled termination cyclone iii device family lvpecl receiver 100 ? 50 ? 50 ? lvpecl transmitter figure 7?10. differential sstl class i interface output buffer receiver v tt v tt
7?14 chapter 7: high-speed differential in terfaces in the cyclone iii device family high-speed i/o standards support cyclone iii device handbook december 2011 altera corporation volume 1 figure 7?11 shows the differential sstl class ii interface. differential hstl i/o standard supp ort in the cyclone iii device family the differential hstl i/o standard is used for the applications designed to operate in 0v to 1.2v, 0v to 1.5v, or 0v to 1.8v hstl logic switching range. the cycloneiii device family supports differential hstl-1 8, hstl-15, and hstl-12 i/o standards. the differential hstl input standard is av ailable on gclk pins only, treating the differential inputs as two single-ended hstl and only decoding one of them. the differential hstl output standa rd is only supported at the pll#_clkout pins using two single-ended hstl output buffers ( pll#_clkout p and pll#_clkout n ), with the second output programmed to have opposite polarity. the differential hstl i/o standard requires two differential inputs with an external reference voltage ( vref ), as well as an extern al termination voltage ( vtt ) of 0.5 v ccio to which termination resistors are connected. f for more information about the differential hs tl signaling characteristics, refer to the i/o features in the cyclone iii device family , cyclone iii device data sheet , and cyclone iii ls device data sheet chapters. figure 7?12 shows the differential hstl class i interface. figure 7?11. differential sstl class ii interface note to figure 7?11 : (1) pll output clock pins do not support differential sstl-18 class ii i/o standard. output buffer (1) receiver v tt v tt v tt v tt figure 7?12. differential hstl class i interface output buffer receiver z 0 = 50 50 50 z 0 = 50 v tt v tt
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?15 true output buffer feature december 2011 altera corporation cyclone iii device handbook volume 1 figure 7?13 shows the differential hstl class ii interface. true output buffer feature cyclone iii device family true differ ential transmitters offer programmable pre-emphasis?you can choose to turn it on or off. the default setting is on. programmable pre-emphasis the programmable pre-emphasis boosts the hi gh frequencies of the output signal to compensate the frequency-dependent atte nuation of the transmission line to maximize the data eye opening at the far-end receiver. without pre-emphasis, the output current is limited by the v od specification and the output impedance of the transmitter. at high frequency, the slew ra te may not be fast enough to reach full v od before the next edge; this ma y lead to pattern dependent ji tter. with pre-emphasis, the output current is momentarily boosted during switching to increase the output slew rate. the overshoot produced by this extra switching current is different from the overshoot caused by signal reflection. this overshoot happens only during switching, and does not produce ringing. figure 7?14 shows the differential output signal with pre-emphasis. figure 7?13. differential hstl class ii interface note to figure 7?13 : (1) pll output clock pins do not support differential hstl class ii i/o standard. o u tp u t b u ffer (1) recei v er z 0 = 50 50 50 z 0 = 50 v tt v tt 50 50 v tt v tt figure 7?14. the output signal with pre-emphasis v od positi v e channel (p) n egati v e channel (n) o v ershoot undershoot
7?16 chapter 7: high-speed differential in terfaces in the cyclone iii device family high-speed i/o timing cyclone iii device handbook december 2011 altera corporation volume 1 high-speed i/o timing this section discusses the timing budget, waveforms, and specifications for source-synchronous signaling in the cyclone iii device family. timing for source-synchronous signaling is based on skew between the data and clock signals. high-speed differential data transmission requires timing parameters provided by ic vendors and requires you to consider the board skew, cable skew, and clock jitter. this section provides information about high-sp eed i/o standards timing parameters in the cyclone iii device family. table 7?5 lists the parameters of the timing diagram as shown in figure 7?15 . table 7?5. high-speed i/o timing definitions parameter symbol description transmitter channel-to-channel skew (1) tccs the timing difference between the fastest and slowest output edges, including t co variation and clock skew. the clock is included in the tccs measurement. sampling window sw the period of time during which the data must be valid in order for you to capture it correctly. the setup and hold times determine the ideal strobe position in the sampling window. t sw =t su +t hd + pll jitter. receiver input skew margin rskm rskm is defined by the total margin left after accounting for the sampling window and tccs. the rskm equation is: input jitter tolerance (peak-to-peak) ? allowed input jitter on the input clock to the pll that is tolerable while maintaining pll lock. output jitter (peak-to-peak) ? peak-to-peak output jitter from the pll. note to table 7?5 : (1) the tccs specification applies to the entire bank of differenti al i/o as long as the serdes lo gic is placed in the logic arr ay block (lab) adjacent to the output pins. rskm tui sw tccs ? ? ? 2 -------------------------------------------------- = figure 7?15. high-speed i/o timing diagram sampling window (sw) time unit interval (tui) rskm tccs rskm tccs internal clock external input clock receiver input data
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?17 design guidelines december 2011 altera corporation cyclone iii device handbook volume 1 figure 7?16 shows the cyclone iii device fami ly high-speed i/o timing budget. f for more information, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters in volume 2 of the cyclone iii device handbook . design guidelines this section provides guidelines for designing with the cyclone iii device family. differential pad placement guidelines to maintain an acceptable noise level on the v ccio supply, you must observe some restrictions on the placement of single-ended i/o pins in relation to differential pads. altera recommends that you create a quartus ii design, enter your device i/o assignments, and compile your design to validate your pin placement. the quartus ii software checks your pin connections wi th respect to the i/o assignment and placement rules to ensure proper device operation. f for more information about how the quartus ii software checks i/o restrictions, refer to the i/o management chapter in volume 2 of the quartus ii handbook . board design considerations this section explains how to achieve the optimal performance from the cyclone iii device family i/o interface and ensure first-time success in implementing a functional design with optimal signal quality. you must consider the critical issues of controlled impedance of traces and connector s, differential routing, and termination techniques to get the best performanc e from the cyclone iii device family. use the following general guidelines for improved signal quality: base board designs on controlled differential impedance. calculate and compare all parameters, such as trace width, trac e thickness, and the distance between two differential traces. maintain equal distance between traces in differential i/o standard pairs as much as possible. routing the pair of traces close to each other maximizes the common-mode rejection ratio (cmrr). figure 7?16. cyclone iii device family high-speed i/o timing budget (1) note to figure 7?16 : (1) the equation for the high-speed i/o timing budget is: internal clock period rskm 0.5 tccs rskm 0.5 tccs sw eriod 0.5 tccs rskm sw rskm 0.5 tccs ? ++++ ? =
7?18 chapter 7: high-speed differential in terfaces in the cyclone iii device family software overview cyclone iii device handbook december 2011 altera corporation volume 1 longer traces have more inductance and capacitance. these traces must be as short as possible to limit signal integrity issues. place termination resistors as close to receiver input pins as possible. use surface mount components. avoid 90 corners on board traces. use high-performance connectors. design backplane and card traces so that trace impedance matches the impedance of the connector and termination. keep an equal number of vias for both signal traces. create equal trace lengths to avoid skew between signals. unequal trace lengths result in misplaced crossing points and decrease system margins as the transmitter-channel-to-channel skew (tccs) value increases. limit vias because they cause discontinuities. keep switching transistor-to-transistor logi c (ttl) signals away from differential signals to avoid possible noise coupling. do not route ttl clock signals to areas under or above the differential signals. analyze system-level signals. f for more information about pcb layout guidelines, refer to the high-speed board layout guidelines and guidelines for designin g high-speed fpga pcbs application notes. software overview cyclone iii device family high -speed i/o system interfaces are created in core logic by a quartus ii software megafunction beca use they do not have a dedicated circuit for the serdes. the cyclone iii device family uses the i/o registers and le registers to improve the timing performance and support the serdes. altera quartus ii software allows you to design your high-speed interfaces using the altlvds megafunction. this megafunction implements either a high-speed deserializer receiver or a high-speed serializer transmitter. there is a list of parameters in the altlvds megafunction that you can set to customize your serdes based on your design requirements. the megafunction is op timized to use cyclone iii device family resources to create high-speed i/o interfaces in the most effective manner. 1 when you are using the cyclone iii device family with the altlvds megafunction, the interface always sends the msb of your parallel data first. f for more information about designing your high-speed i/o systems interfaces using the altlvds megafunction, refer to the lvds serdes transmitter/receiver (altlvds_tx amd altlvds_rx ) megafunction user guide and the quartus ii handbook .
chapter 7: high-speed differen tial interfaces in the cyclone iii device family 7?19 document revision history december 2011 altera corporation cyclone iii device handbook volume 1 document revision history table 7?6 lists the revision history for this document. table 7?6. document revision history (part 1 of 2) date version changes december 2011 4.0 updated table 7?2 . updated ?differential sstl i/o standard sup port in the cyclone iii device family? on page 7?13 , ?differential hstl i/o standard support in the cyclone iii device family? on page 7?14 , and ?differential pad placement guidelines? on page 7?17 . updated hyperlinks. minor text edits. december 2009 3.2 minor changes to the text. july 2009 3.1 made minor correction to the part number. june 2009 3.0 updated to include cyclone iii ls information updated chapter part number. updated ?introduction? on page 7?1, ?high- speed i/o interface? on page 7?1, ?high- speed i/o standards support? on page 7?7, ?lvds i/o standard support in cyclone iii family devices? on page 7?7, ?designing with lvds? on page 7?8, ?blvds i/o standard support in cyclone iii family devices? on pa ge 7?8, ?rsds, mini-lvds, and ppds i/o standard support in cyclone iii family devices? on page 7?10, ?lvpecl i/o support in cyclone iii family devices? on page 7?12, ?differential sstl i/o standard support in cyclone iii family devices? on page 7?13, and ?differential hstl i/o standard support in cyclone iii family devices? on page 7?14. updated figure 7?1 on page 7?2, figure 7?4 on page 7?9, and figure 7?5 on page 7?10. updated table 7?1 on page 7?3, table 7?2 on page 7?4, table 7?3 on page 7?5, and table 7?4 on page 7?7. october 2008 1.3 updated table 7?2. updated table 7?1. updated ?blvds i/o standard support in cyclone iii devices?. updated ?software overview?. removed registered trademark symbols for rsds and ppds. removed any mention of ?rsds and ppds are registered trademarks of national semiconductor? in this chapter. updated chapter to new template.
7?20 chapter 7: high-speed differential in terfaces in the cyclone iii device family document revision history cyclone iii device handbook december 2011 altera corporation volume 1 may 2008 1.2 changes include addition of blvd information updated ?introduction? section with blvds information. updated figure 7?1 with blvds information and added note 5. updated table 7?1 and added blvds information. updated ?cyclone iii high-speed i/o banks? section with blvds information. updated table 7?2 and 7?6. added new section ?blvds i/o standard support in cyclone iii devices?. updated note 4 to figure 7?4. updated note 1 to figure 7?10. updated note 1 to figure 7?11. updated note 1 to figure 7?14. updated ?mini-lvds i/o standard support in cyclone iii devices? section. updated note 1 to figure 7?17. updated ?lvpecl i/o support in cyclone iii devices? section. added new figure 7?18. july 2007 1.1 added note that pll output clock pins do not support class ii type of selected differential i/o standards. added table 8?3 that lists the number of differential channels which are migratable across densities and packages. updated (note 4) to figure 7?1. updated (note 3) to table 7?1. added new table 7?3. added (note 1) to figure 7?21. added (note 1) to figure 7?23. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release. table 7?6. document revision history (part 2 of 2) date version changes
ciii51009-3.1 ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 july 2012 subscribe iso 9001:2008 registered 8. external memory interfaces in the cyclone iii device family in addition to an abundant su pply of on-chip memory, cyclone ? iii device family (cyclone iii and cyclone iii ls devices) can easily interface to a broad range of external memory, including ddr2 sdram, ddr sdram, and qdrii sram. external memory devices are an importan t system component of a wide range of image processing, storage, communication s, and general embedded applications. 1 altera ? recommends that you construct all ddr2 or ddr sdram external memory interfaces using the altera altmemphy megafunction. you can implement the controller function using the altera dd r2 or ddr sdram memory controllers, third-party controllers, or a custom co ntroller for unique application needs. cyclone iii device family suppo rts qdr ii interfaces electrically, but altera does not supply controller or physical layer (phy) megafunctions for qdr ii interfaces. this chapter includes a description of the hardware interfaces for external memory interfaces available in cy clone iii device family. this chapter contains the following sections: ?cyclone iii device family memory interfaces pin support? on page 8?2 ?cyclone iii device family memory interfaces features? on page 8?11 f for more information about external memo ry system performance specifications, board design guidelines, timing analysis, simulation, and debugging information, refer to the external memory interfaces page. july 2012 ciii51009-3.1
8?2 chapter 8: external memory interf aces in the cyclone iii device family cyclone iii device family memo ry interfaces pin support cyclone iii device handbook july 2012 altera corporation volume 1 figure 8?1 shows the block diagram of a typical external memory interface data path in cyclone iii device family. cyclone iii device family memory interfaces pin support cyclone iii device family us es data (dq), data strobe (dqs), clock, command, and address pins to interface with external me mory. some memory interfaces use the data mask (dm) or byte write select (bws#) pins to enable data masking. this section describes how cyclone iii device family supports all these different pins. data and data clock/strobe pins cyclone iii device family data pins for ex ternal memory interfaces are called d for write data, q for read data, or dq for shared read and write data pins. the read-data strobes or read clocks are called dqs pins. cyclone iii device family supports both bidirectional data strobes and unidirectional read clocks. depending on the external memory standard, the dq and dqs are bidirectional signals (in ddr2 and ddr sdram) or unidirectional signals (in qdr ii sram). connect the bidirectional dq data signals to the same cyclone iii device family dq pins. for unidirectional d or q signals, connect the read-d ata signals to a group of dq pins and the write-data signals to a different group of dq pins. 1 in qdr ii sram, the q read-data group must be placed at a different v ref bank location from the d write-data gr oup, command, or address pins. in cyclone iii device family, dqs is used only during write mode in ddr2 and ddr sdram interfaces. cyclone iii device family ignores dqs as the read-data strobe because the phy internally generates the read capture clock for read mode. however, you must connect the dqs pin to the dqs signal in ddr2 and ddr sdram interfaces, or to the cq signal in qdr ii sram interfaces. figure 8?1. cyclone iii device family external memory data path (1) note to figure 8?1 : (1) all clocks shown here are global clocks. dqs/cq/cqn oe v cc pll gnd system clock dq oe dataa datab -90 shifted clock ioe register ioe register ioe register ioe register ioe register ioe register ioe register le register le register le register ioe register capt u re clock
chapter 8: external memory interfaces in the cyclone iii device family 8?3 cyclone iii device family me mory interfaces pin support july 2012 altera corporation cyclone iii device handbook volume 1 1 cyclone iii device family do es not support differential strobe pins, which is an optional feature in the ddr2 sdram device. f when you use the altera memory controller megacore ? , the phy is instantiated for you. for more information about the memory interface data path, refer to the external memory interfaces page. 1 altmemphy is a self-calibrating mega function, enhanced to simplify the implementation of the read-data path in different memory interfaces. the auto-calibration feature of altmemphy pr ovides ease-of-use by optimizing clock phases and frequencies across process, vo ltage, and temperature (pvt) variations. you can save on the global clock resource s in cyclone iii device family through the altmemphy megafunction because yo u are not required to route the dqs signals on the global clock buses (because dqs is ignored for read capture). resynchronization issues do not arise because no transfer occurs from the memory domain clock ( dqs ) to the system domain for capturing data dq . all i/o banks in cyclone iii device family can support dq and dqs signals with dq -bus modes of 8, 9, 16, 18, 32, and 36. ddr2 and ddr sdram interfaces use 8 mode dqs group regardless of the interface wi dth. for wider interface, you can use multiple 8 dq groups to achieve the desired width requirement. in the 9, 18, and 36 modes, a pair of complementary dqs pins (cq and cq#) drives up to 9, 18, or 36 dq pins, respectively, in the group, to support one, two, or four parity bits and the corresponding data bi ts. the 9, 18, and 36 modes support the qdr ii memory interface. cq# is the inverted read-clock signal which is connected to the complementary data strobe ( dqs or cq# ) pin. you can use any unused dq pins as regular user i/o pins if they are not used as memory interface signals. table 8?1 lists the number of dqs or dq groups supported on each side of the cyclone iii device only. table 8?1. cyclone iii device dqs and dq bus mode support for each side of the device (part 1 of 4) device package side number 8 groups number 9 groups number 16 groups number 18 groups number 32 groups number 36 groups ep3c5 144-pin eqfp (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 164-pin mbga (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 256-pin fineline bga/256-pin ultra fineline bga (1) left (4) , (5) 1100?? right (4) , (6) 1100?? top 2211?? bottom 2211??
8?4 chapter 8: external memory interf aces in the cyclone iii device family cyclone iii device family memo ry interfaces pin support cyclone iii device handbook july 2012 altera corporation volume 1 ep3c10 144-pin eqfp (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 164-pin mbga (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 256-pin fineline bga/256-pin ultra fineline bga (1) left (4) , (5) 1100?? right (4) , (6) 1100?? top 2211?? bottom 2211?? ep3c16 144-pin eqfp (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 164-pin mbga (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 240-pin pqfp (1) left (4) , (7) 1100?? right (3) , (4) 1000?? top 1100?? bottom 1100?? 256-pin fineline bga/256-pin ultra fineline bga (1) left (4) , (5) 1100?? right (4) , (6) 1100?? top 2211?? bottom 2211?? 484-pin fineline bga/484-pin ultra fineline bga left 422211 right 422211 top 422211 bottom 422211 table 8?1. cyclone iii device dqs and dq bus mode support for each side of the device (part 2 of 4) device package side number 8 groups number 9 groups number 16 groups number 18 groups number 32 groups number 36 groups
chapter 8: external memory interfaces in the cyclone iii device family 8?5 cyclone iii device family me mory interfaces pin support july 2012 altera corporation cyclone iii device handbook volume 1 ep3c25 144-pin eqfp (1) left 0000?? right 0000?? top (2) 1000?? bottom (3) , (4) 1000?? 240-pin pqfp (1) left (4) , (7) 1100?? right (3) , (4) 1000?? top 1100?? bottom 1100?? 256-pin fineline bga/256-pin ultra fineline bga (1) left (4) , (5) 1100?? right (4) , (6) 1100?? top 2211?? bottom 2211?? 324-pin fineline bga (1) left 2211?? right (8) 2211?? top 2211?? bottom 2211?? ep3c40 240-pin pqfp left (4) , (7) 110000 right (3) , (4) 100000 top 110000 bottom 110000 324-pin fineline bga left 221100 right (8) 221100 top 221100 bottom 221100 484-pin fineline bga/484-pin ultra fineline bga left 422211 right 422211 top 422211 bottom 422211 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 table 8?1. cyclone iii device dqs and dq bus mode support for each side of the device (part 3 of 4) device package side number 8 groups number 9 groups number 16 groups number 18 groups number 32 groups number 36 groups
8?6 chapter 8: external memory interf aces in the cyclone iii device family cyclone iii device family memo ry interfaces pin support cyclone iii device handbook july 2012 altera corporation volume 1 ep3c55 484-pin fineline bga/484-pin ultra fineline bga left 422211 right 422211 top 422211 bottom 422211 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 ep3c80 484-pin fineline bga/484-pin ultra fineline bga left 422211 right 422211 top 422211 bottom 422211 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 ep3c120 484-pin fineline bga left 422211 right 422211 top 422211 bottom 422211 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 notes to table 8?1 : (1) this device package does not support 32 or 36 mode. (2) for the top side of the device, rup, rdn, pllclkout3n, and pllclkout3p pins are shared with the dq or dm pins to gain 8 dq group. you cannot use these groups if you are using the rup and rdn pins for on-chip terminat ion (oct) calibration or if you are using pll clkout3n and pllclkout3p. (3) there is no dm pin support for these groups. (4) the rup and rdn pins are shared with the dq pins. you cannot use these groups if yo u are using the rup and rdn pins for oct calibration. (5) the 8 dq group can be formed in bank 2. (6) the 8 dq group can be formed in bank 5. (7) there is no dm and bws# pins support for these groups. (8) the rup pin is shared with the dq pin to gain 9 or 18 dq group. you cannot use these groups if you are using the rup and r dn pins for oct calibration. table 8?1. cyclone iii device dqs and dq bus mode support for each side of the device (part 4 of 4) device package side number 8 groups number 9 groups number 16 groups number 18 groups number 32 groups number 36 groups
chapter 8: external memory interfaces in the cyclone iii device family 8?7 cyclone iii device family me mory interfaces pin support july 2012 altera corporation cyclone iii device handbook volume 1 table 8?2 lists the numbers of dqs or dq grou ps supported on each side of the cyclone iii ls device only. table 8?2. cyclone iii ls device dqs and dq bus mode support for each side of the device device package side number of 8 groups number of 9 groups number of 16 groups number of 18 groups number of 32 groups number of 36 groups ep3cls70 484-pin fineline bga/ 484-pin ultra fineline bga (1) left 2211?? right 2211?? top 2211?? bottom 2211?? 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 ep3cls100 484-pin fineline bga/ 484-pin ultra fineline bga (1) left 2211?? right 2211?? top 2211?? bottom 2211?? 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 ep3cls150 484-pin fineline bga (1) left 2211?? right 2211?? top 2211?? bottom 2211?? 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 ep3cls200 484-pin fineline bga (1) left 2211?? right 2211?? top 2211?? bottom 2211?? 780-pin fineline bga left 422211 right 422211 top 622211 bottom 622211 note to table 8?2 : (1) this device package does not support x32 or 36 mode.
8?8 chapter 8: external memory interf aces in the cyclone iii device family cyclone iii device family memo ry interfaces pin support cyclone iii device handbook july 2012 altera corporation volume 1 f for more information about device package outline, refer to the package and thermal resistance page. dqs pins are listed in the cyclone ii i and cyclone iii ls pin tables as dqsxy , in which x indicates the dqs grouping number and y indicates whether the group is located on the top ( t ), bottom ( b ), left ( l ) or right ( r ) side of the device. similarly, the corresponding dq pins are marked as dqxy , in which the x denotes the dq grouping number and y denotes whether the group is located on the top ( t ), bottom ( b ), left ( l ) or right ( r ) side of the device. for example, dqs2t indicates a dqs pin belonging to group 2 , located on the top side of the device. similarly, the dq pins belonging to that group is shown as dq2t . 1 each dq group is associated with its corresponding dqs pins, as defined in the cyclone iii and cyclone iii ls pin tables; for example: for ddr2 or ddr sdram, 8 dq group dq3b[7:0] pins are associated with the dqs3b pin (same 3b group index) for qdr ii sram, 9 q read-data group dq3l[8..0] pins are associated with dqs2l/cq3l and dqs3l/cq3l# pins (same 3l group index) the quartus ? ii software issues an error message if a dq group is not placed properly with its associated dqs . figure 8?2 shows the location and numbering of the dqs , dq , or cq# pins in the cyclone iii device family i/o banks. 1 for maximum timing performance, altera recommends that the data groups for external memory interfaces must always be within the same side of a device.
chapter 8: external memory interfaces in the cyclone iii device family 8?9 cyclone iii device family me mory interfaces pin support july 2012 altera corporation cyclone iii device handbook volume 1 figure 8?3 shows the location and numbering of the dqs, dq, or cq# pins in i/o banks of the cyclone iii device in the 144-pin eqfp and 164-pin mbga packages only. figure 8?2. dqs, cq, or cq# pins in cyclone iii device family i/o banks (1) note to figure 8?2 : (1) the dqs, cq, or cq# pin locations in th is diagram apply to all packages in cyclone iii device family excep t devices in 144-p in eqfp and 164-pin mbga. i/o bank 8 i/o bank 7 i/o bank 3 i/o bank 4 i/o bank 2 i/o bank 1 i/o bank 6 i/o bank 5 cyclone iii de v ice family dqs2l/cq3l dqs0l/cq1l dqs1l/cq1l# dqs3l/cq3l# dqs2r/cq3r dqs0r/cq1r dqs1r/cq1r# dqs3r/cq3r# dqs1b/cq1b# dqs3b/cq3b# dqs5b/cq5b# dqs4b/cq5b dqs2b/cq3b dqs0b/cq1b dqs1t/cq1t# dqs3t/cq3t# dqs5t/cq5t# dqs4t/cq5t dqs2t/cq3t dqs0t/cq1t figure 8?3. dqs, cq, or cq# pins for devices in the 144-pin eqfp and 164-pin mbga packages cyclone iii de v ices in 144-pin eqfp and 164-pin mbga i/o bank 8 i/o bank 7 i/o bank 4 i/o bank 3 i/o bank 2 i/o bank 1 i/o bank 6 i/o bank 5 dqs0l/cq1l dqs1l/cq1l# dqs1r/cq1r# dqs0r/cq1r dqs1t/cq1t# dqs0t/cq1t dqs0b/cq1b dqs1b/cq1b#
8?10 chapter 8: external memory interf aces in the cyclone iii device family cyclone iii device family memo ry interfaces pin support cyclone iii device handbook july 2012 altera corporation volume 1 in cyclone iii device family, the 9 mode uses the same dq and dqs pins as the 8 mode, and one additional dq pin that serves as a regular i/o pin in the 8 mode. the 18 mode uses the same dq and dqs pins as 16 mode, with two additional dq pins that serve as regular i/o pins in the 16 mo de. similarly, the 36 mode uses the same dq and dqs pins as the 32 mode, with four additional dq pins that serve as regular i/o pins in the 32 mode. when not used as dq or dqs pins, the memory interface pins are available as regular i/o pins. optional parity, dm, and erro r correction coding pins cyclone iii device family suppo rts parity in 9, 18, and 36 modes. one parity bit is available per eight bits of data pins. you can use any of the dq pins for parity in cyclone iii device family beca use the parity pins are treate d and configured similar to dq pins. dm pins are only required when writing to ddr2 and ddr sdram devices. qdr ii sram devices use the bws# signal to select the byte to be written into memory. a low signal on the dm or bws# pin indicates the write is valid. driving the dm or bws# pin high causes the memory to mask the dq signals. each group of dqs and dq signals has one dm pin. similar to the dq output signals, the dm signals are clocked by the -90 shifted clock. in cyclone iii device family, the dm pins are preassigned in the device pinouts. the quartus ii fitter treats the dq and dm pins in a dqs group equally for placement purposes. the preassigned dq and dm pins are the preferred pins to use. some ddr2 sdram and ddr sdram devices support error correction coding (ecc), a method of detecting and automa tically correcting errors in data transmission. in 72-bit ddr2 or ddr sdram, there are eight ecc pins and 64 data pins. connect the ddr2 and ddr sdram ecc pins to a separate dqs or dq group in cyclone iii device family. the memory cont roller needs additional logic to encode and decode the ecc data. address and control/command pins the address signals and the control or command signals are typically sent at a single data rate. you can use any of the user i/o pins on all i/o banks of cyclone iii device family to generate the address and control or command signals to the memory device. 1 cyclone iii device family do es not support qdr ii sram in the burst length of two. memory clock pins in ddr2 and ddr sdram memory interfac es, the memory clock signals (ck and ck#) are used to capture the address signals and the control or command signals. similarly, qdr ii sram devices use the write clocks (k and k#) to capture the address and command signals. the ck/ck# and k/k# signals are generated to resemble the write-data strobe using the dd io registers in cyclone iii device family. f for more information about ck/ck# pins placement, refer to the ?pin connection guidelines tables? section in the planning pin and fpga resources chapter of the external memory interface handbook .
chapter 8: external memory interfaces in the cyclone iii device family 8?11 cyclone iii device family memory interfaces features july 2012 altera corporation cyclone iii device handbook volume 1 cyclone iii device family me mory interfaces features this section describes cyclone iii device family memory interfaces, including ddr input registers, ddr output registers, oct, and phase-lock loops (plls). ddr input registers the ddr input registers are implemented wi th three internal logic element (le) registers for every dq pin. these le registers are located in the logic array block (lab) adjacent to the ddr input pin. figure 8?4 shows cyclone iii device family ddr input registers. the ddr data is first fed to tw o registers, input register a i and input register b i . input register a i captures the ddr data present during the rising edge of the clock input register b i captures the ddr data present during the falling edge of the clock register c i aligns the data before it is synchronized with the system clock the data from the ddr input register is fed to two registers, sync_reg_h and sync_reg_l , then the data is typically transferre d to a fifo block to synchronize the two data streams to the rising edge of th e system clock. because the read-capture clock is generated by the pll, the read-data strobe signal ( dqs or cq) is not used during read operation in cyclone iii device family; hence, postamble is not a concern in this case. figure 8?4. cyclone iii device family ddr input registers datao u t_h le register le register le register dq datao u t_l inp u t register b i inp u t register a i neg_reg_o u t register c i ddr inp u t registers in cyclone iii de v ice family capt u re clock pll
8?12 chapter 8: external memory interf aces in the cyclone iii device family cyclone iii device family memory interfaces features cyclone iii device handbook july 2012 altera corporation volume 1 ddr output registers a dedicated write ddio block is implemente d in the ddr output and output enable paths. figure 8?5 shows how cyclone iii device fam ily dedicated write ddio block is implemented in the i/o element (ioe) registers. the two ddr output registers are located in the i/o element (ioe) block. two serial data streams routed through datain_l and datain_h , are fed into two registers, output register ao and output register bo , respectively, on the same clock edge. the output from output register ao is captured on the falling edge of the clock, while the output from output register bo is captured on the rising edge of the clock. the registered outputs are multiplexed by the co mmon clock to drive the ddr output pin at twice the data rate. the ddr output enable path has a similar structure to the ddr output path in the ioe block. the second output enable register provides the write preamble for the dqs strobe in ddr external memory interfaces. this active-low output enable register extends the high-impedance state of the pi n by half a clock cycle to provide the external memory?s dqs write preamble time specification. figure 8?5. cyclone iii device family dedicated write ddio ioe register ioe register ou t pu t enable -90 shif t ed clock da t ain_l o u tp u t ena b le register a oe o u tp u t ena b le register b oe data1 data0 ioe register o u tp u t register a o data0 data1 da t ain_h ioe register o u tp u t register b o ddr o u tp u t registers ddr o u tp u t ena b le registers dq or dqs ?
chapter 8: external memory interfaces in the cyclone iii device family 8?13 cyclone iii device family memory interfaces features july 2012 altera corporation cyclone iii device handbook volume 1 f for more information about cyclone iii devi ce family ioe regist ers, refer to the i/o features in the cyclone iii device family chapter. figure 8?6 shows how the second output enable register extends the dqs high-impedance state by half a cl ock cycle during a write operation. oct cyclone iii device family supports calibrated on-chip series termination (r s oct) in both vertical and horizontal i/o banks. to use the calibrated oct, you must use the rup and rdn pins for each r s oct control block (one for each side). you can use each oct calibration block to calibrate one type of termination with the same v ccio for that given side. f for more information about cyclone iii device family oct calibration block, refer to the cyclone iii device i/o features chapter. pll when interfacing with external memory, th e pll is used to generate the memory system clock, the write clock, the capture clock and the logic-core clock. the system clock generates the dqs write signals, commands, and addresses. the write-clock is shifted by -90 from the system clock and generates the dq signals during writes. you can use the pll reconfiguration feature to calibrate the read-capture phase shift to balance the setup and hold margins. figure 8?6. extending the oe disable by half a clock cycle for a write transaction (1) note to figure 8?6 : (1) the waveform reflects the so ftware simulation result. the oe signal is an active low on the device. however, the qu artus ii software implements the signal as an active high and automati cally adds an inverter before the a oe register d input. system clock (o u tclock for dqs) oe for dqs (from logic array) dqs write clock (o u tclock for dq, -90 phase shifted from system clock) o datain_h (from logic array) datain_i (from logic array) oe for dq (from logic array) dq d0 d1 d2 d3 d0 d2 d1 d3 pream b le postam b le delay b y half a clock cycle 90 o
8?14 chapter 8: external memory interf aces in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1 1 the pll is instantiated in the altmemphy megafunction. all outputs of the pll are used when the altmemphy megafunction is instantiated to interface with external memories. f for more information about the usage of pll outputs by the altmemphy megafunction, refer to the external memory interfaces page. f for more information about cyclone ii i device family pll, refer to the clock networks and plls in the cyclone iii device family chapter. document revision history table 8?3 lists the revision history for this document. table 8?3. document revision history date version changes july 2012 3.1 finalized table 8?2 . december 2011 3.0 updated ?data and data clock/strobe pins? on page 8?2 and ?memory clock pins? on page 8?10. updated hyperlinks. minor text edits. january 2010 2.3 removed tables 8-1, 8-2, 8-3, and 8-4. changed links to reference literature: external memory interfaces . december 2009 2.2 minor changes to the text. july 2009 2.1 made minor correction to the part number. june 2009 2.0 updated chapter part number. updated ?introduction? on page 8?1. updated table 8?1 on page 8?1, table 8?2 on page 8?2, table 8?3 on page 8?3, table 8?4 on page 8?4, and table 8?5 on page 8?7. updated notes to table 8?6 on page 8?10. updated ?data and data clock/strobe pins? on page 8?5. updated note to figure 8?2 on page 8?12. updated ?optional parity, dm, and error correction coding pins? on page 8?13. updated ?address and control/command pins? on page 8?14. october 2008 1.3 updated ?introduction?, ?ddr input registers? and ?conclusion? sections. updated chapter to new template. may 2008 1.2 added (note 4) to figure 8?3. updated table 8?3 and table 8-5. added new table 8?4. updated (note 1) to figure 8-4. updated figure 8?5 and 8?14. july 2007 1.1 updated ?data and data clock/strobe pins? section. updated table 8?5. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release.
august 2012 altera corporation cyclone iii device handbook volume 1 section iii. system integration this section includes the following chapters: chapter 9, configuration, design security, and remote system upgrades in the cyclone iii device family chapter 10, hot-socketing and power-on reset in the cyclone iii device family chapter 11, seu mitigation in the cyclone iii device family chapter 12, ieee 1149.1 (jtag) boundary-s can testing for the cyclone iii device family f for information about the revision history for chapters in this section, refer to ?document revision history? in each individual chapter.
iii?2 section iii: system integration cyclone iii device handbook august 2012 altera corporation volume 1
ciii51016-2.2 ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 august 2012 subscribe iso 9001:2008 registered 9. configuration, de sign security, and remote system upgrades in the cyclone iii device family this chapter describes the configuration, design security, and remote system upgrades in cyclone ? iii devices. the cyclone iii device family (cyclone iii and cyclone iii ls devices) uses sram cells to store configuration data. configuration data must be downloaded to cyclone iii devi ce family each time the device powers up because sram memory is volatile. the cyclone iii device family is configured using one of the following configuration schemes: fast active serial (as) active parallel (ap) fo r cyclone iii devices only passive serial (ps) fast passive parallel (fpp) joint test action group (jtag) all configuration schemes use an external configuration controller (for example, max ? ii devices or a microprocessor), a conf iguration device, or a download cable. the cyclone iii device family offers the following configuration features: configuration data decompression design security (for cy clone iii ls devices only) remote system upgrade as cyclone iii ls devices play a role in larg er and more critical designs in competitive commercial and military environments, it is increasingly important to protect your designs from copying, reverse engineering, and tampering. cyclone iii ls devices address these concerns with 256-bit advanced encryption standard (aes) programming file encryption and anti-tampe r feature support to prevent tampering. for more information about the design securi ty feature in cyclone iii ls devices, refer to ?design security? on page 9?70 . system designers face difficult challenges such as shortened design cycles, evolving standards, and system deployments in re mote locations. the cyclone iii device family helps overcome these challenges with inherent re-programmability and dedicated circuitry to perform remote sy stem upgrades. remote system upgrades help deliver feature enhancements and bu g fixes without costly recalls, reduce time-to-market, and extend product life. remote system upgrades can also be implemented with the advanced cyclone iii de vice family features such as real-time decompression of configuration data. for more information about the remote system upgrade feature in cyclone iii device family, refer to ?remote system upgrade? on page 9?74 . august 2012 ciii51016-2.2
9?2 chapter 9: configuration, design security, and remote system upgra des in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 this chapter describes the cyclone iii de vice family configuration features and describes how to configure cyclone iii device family using the supported configuration schemes. this chapter also in cludes configuration pin descriptions and the cyclone iii device family configuration file formats. in this chapter, the generic term ?device? includes all cyclone iii device family. this chapter contains the following sections: ?configuration feat ures? on page 9?2 ?design security? on page 9?70 ?remote system upgrade? on page 9?74 configuration features cyclone iii device family offers configuration data decompression to reduce configuration file storage, provides design security feature to protect your configuration data (for cycl one iii ls devices only), and provides remote system upgrade to allow you to remotely update your cyclone iii device family designs. table 9?1 lists which configuration methods yo u can use in each configuration scheme. table 9?1. cyclone iii device family configuration features (part 1 of 2) configuration scheme configuration method decompression remote system upgrade (1) design security (cyclone iii ls devices only) fast active serial standard (as standard por) serial configuration device vvv fast active serial fast (as fast por) serial configuration device vvv active parallel 16 standard (ap standard por, for cyclone iii devices only) supported flash memory (2) ? v ? active parallel 16 fast (ap fast por, for cyclone iii devices only) supported flash memory (2) ? v ? passive serial standa rd (ps standard por) external host with flash memory v ? v download cable v ? v (3) passive serial fast (ps fast por) external host with flash memory v ? v download cable v ? v (3) fast passive parallel fast (fpp fast por) external host with flash memory ?? v
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?3 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 1 the design security feature is for cyclone iii ls devices only and is available in all configuration schemes except the jtag-b ased configuration. the decompression feature is not supported when you have enabled the design security feature. 1 when using a serial configuration scheme su ch as ps or fast as, the configuration time is the same whether or not you have enabled the design security feature. a 4 dclk is required if you use the fpp sche me with the design security feature. 1 cyclone iii devices support remote system upgrade in as and ap configuration schemes. cyclone iii ls devices only supp ort remote system upgrade in the as configuration scheme. this section only describes the decompression feature. for more information about the design security and remote system upgrade, refer to ?design security? on page 9?70 and ?remote system upgrade? on page 9?74 . configuration data decompression cyclone iii device family supports configuration data decompression, which saves configuration memory space and time. this feature allows you to store compressed configuration data in configuration de vices or other memory and send the compressed bitstream to cyclone iii device family. during configuration, cyclone iii device family decompress the bitstream in real time and program sram cells. the decompression feature is not supported when you have enabled the design security feature. 1 compression may reduce the configur ation bitstream size by 35 to 55%. cyclone iii device family supports decompression in the as and ps configuration schemes. decompression is not supported in the ap, fpp, or jtag-based configuration schemes. in ps mode, use th e cyclone iii device family decompression feature to reduce configuration time. 1 altera recommends using the cyclone iii de vice family decompression feature during as configuration if you must save configuration memory space in the serial configuration device. jtag based configuration external host with flash memory ??? download cable ? ? ? notes to table 9?1 : (1) remote update mode is supported when using the remote system upg rade feature. you can enable or disable remote update mode w ith an option setting in the quartus ? ii software. for more information about the remote system upgrade feature, refer to ?remote system upgrade? on page 9?74 . (2) for more information about the supported families for the micron co mmodity parallel flash, refer to table 9?11 on page 9?24 . (3) the design security feature is not s upported using a sram object file ( .sof ). table 9?1. cyclone iii device family configuration features (part 2 of 2) configuration scheme configuration method decompression remote system upgrade (1) design security (cyclone iii ls devices only)
9?4 chapter 9: configuration, design security, and remote system upgra des in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 when you enable compression, the quartus ii software generates configuration files with compressed configuration data. this compressed file reduces the storage requirements in the configuration device or flash memory and decreases the time needed to send the bitstream to the cyclone iii device family. the time needed by a cyclone iii device family to decompress a configuration file is less than the time needed to send the configuration data to the device. there are two methods for enabling compression for cyclone iii device family bitstreams in the quartus ii software: before design compilation (using the compiler settings menu). after design compilation (use the convert programming files dialog box). to enable compression in the compiler settings of the project in the quartus ii software, perform the following steps: 1. on the assignments menu, click device . the settings dialog box appears. 2. click device and pin options . the device and pin options dialog box appears. 3. click the configuration tab. 4. turn on generate compressed bitstreams ( figure 9?1 ). 5. click ok . 6. in the settings dialog box, click ok . to enable compression when creating programming files from the convert programming files window, follow these steps: 1. on the file menu, click convert programming files . figure 9?1. enabling compression for cyclone iii device family bitstreams in compiler settings
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?5 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 2. under output programming file , from the pull-down menu, select your desired file type. 3. if you select the programmer object file ( .pof ), you must specify a configuration device, directly under the file type. 4. in the input files to convert box, select sof data . 5. click add file to browse to the cyclone iii device family .sof s. 6. in the convert programming files dialog box, select the .pof you added to sof data and click properties . 7. in the sof file properties dialog box, turn on the compression option. when multiple devices in cyclone iii device family are cascaded, you can selectively enable the compression feature for each device in the chain. figure 9?2 shows a chain of two devices in cyclone iii device family. the first device has compression enabled and receives compressed bitstream from the configuration device. the second device has the compression feature disabled an d receives uncompressed data. you can generate programming files for this setup from the convert programming files dialog box from the file menu in the quartus ii software. configuration requirement the following section describes power-on-r eset (por) for cyclone iii device family. por circuit the por circuit keeps the device in the reset state until the power supply voltage levels have stabilized after device power- up. after device power-up, the device does not release nstatus until the required voltages listed in table table 9?4 on page 9?8 are above the por trip point of the device. v ccint and v cca are monitored for brown- out conditions after device power-up. 1 v cca is the analog power to the phase-locked loop (pll). figure 9?2. compressed and uncompressed configuration data in the same configuration file nce g n d nceo decompression controller cyclone iii de v ice family nce nceo n .c. cyclone iii de v ice family serial config u ration de v ice s e r ial data comp r e ss ed u n comp r e ss ed v cc 10 k
9?6 chapter 9: configuration, design security, and remote system upgra des in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 in cyclone iii device family, you can select either a fast por time or standard por time depending on the msel pin settings. th e fast por time is 3 ms < tpor < 9 ms for the fast configuration time. the standa rd por time is 50 ms < tpor < 200 ms, which has a lower power-ramp rate. table 9?2 lists the supported por times for each configuration scheme. in some applications, it is necessary for a device to wake up very quickly to begin operation. the cyclone iii devi ce family offers the fast por time option to support fast wake-up time applications. the fast por time option has stricter power-up requirements when compared with the standard por time option. you can select either the fast por or standard por options with the msel pin settings. 1 the automotive application is for cyclon e iii devices only. the cyclone iii devices fast wake-up time meets the requirement of common bus standards in automotive applications, such as media orientated systems transport (most) and controller area network (can). f for more information about wake-up time and the por circuit, refer to the hot-socketing and power-on reset in cyclone iii devices chapter. table 9?2. cyclone iii device family supported por times across configuration schemes (1) configuration scheme fast por time (3 ms< tpor < 9 ms) standard por time (50 ms< tpor < 200 ms) configuration voltage standard (v) (2) fast active serial standard (as standard por) ? v 3.3 fast active serial standard (as standard por) ? v 3.0/2.5 fast active serial fast (as fast por) v ?3.3 fast active serial fast (as fast por) v ?3.0/2.5 active parallel 16 standard (ap standard por, for cyclone iii devices only) ? v 3.3 active parallel 16 standard (ap standard por, for cyclone iii devices only) ? v 3.0/2.5 active parallel 16 standard (ap standard por, for cyclone iii devices only) ? v 1.8 active parallel 16 fast (ap fast por, for cyclone iii devices only) v ?3.3 active parallel 16 fast (ap fast por, for cyclone iii devices only) v ?1.8 passive serial standard (ps standard por) ? v 3.3/3.0/2.5 passive serial fast (ps fast por) v ? 3.3/3.0/2.5 fast passive parallel fast (fpp fast por) v ? 3.3/3.0/2.5 fast passive parallel fast (fpp fast por) v ?1.8/1.5 jtag-based configuration (3) (3) ? notes to table 9?2 : (1) altera recommends connect ing the msel pins to v cca or gnd depending on the msel pin settings. (2) the configuration voltage st andard is applied to the v ccio supply of the bank in which the configuration pins reside. (3) jtag-based configuration takes precedence over other configuration schemes, which means the msel pin se ttings are ignored. h owever, the por time is dependent on the msel pin settings.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?7 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 configuration file size table 9?3 lists the uncompressed configuration file sizes for the cyclone iii device family. to calculate the amount of storage space required for multiple device configurations, add the file si ze of each device together. . use the data in table 9?3 only to estimate the file size before design compilation. different configuration file formats, such as hexadecimal ( .hex ) or tabular text file ( .ttf ) formats, have different file sizes. ho wever, for any specific version of the quartus ii software, any design target ed for the same device has the same uncompressed configuration file size. if you are using compression, the file size varies after each compilation because the co mpression ratio is design dependent. f for more information about setting device configuration options or creating configuration files, refer to the software settings section in volume 2 of the configuration handbook . configuration and jtag pin i/o requirements cyclone iii devices are manufactured using the tsmc 65-nm low-k dielectric process; cyclone iii ls devices are manufactured using the tsmc 60-nm low-k dielectric process. although cyclone iii device family uses tsmc 2.5-v transistor technology in the i/o buffers, the devices are compatible an d able to interface with 2.5-, 3.0-, 3.3-v configuration voltage standards. however, you must follow specific requirements when interfacing cyclone iii device family with 2.5-, 3.0-, 3.3-v configuration voltage standards. all i/o inputs must maintain a maximum ac voltage of 4.1 v. when using a jtag configuration scheme or a serial configurat ion device in an as configuration scheme, you must connect a 25- ? series resistor at the near end of the tdo and tdi pin or the serial configuration device for the data[0] pin. when cascading cyclone iii device family in a multi-device configuration, yo u must connect the repeater buffers between the master and slave devices for data and dclk . table 9?3. cyclone iii device family uncompressed raw binary file (.rbf) sizes device data size (bits) cyclone iii ep3c5 3,000,000 ep3c10 3,000,000 ep3c16 4,100,000 ep3c25 5,800,000 ep3c40 9,600,000 ep3c55 14,900,000 ep3c80 20,000,000 ep3c120 28,600,000 cyclone iii ls ep3cls70 26,766,760 ep3cls100 26,766,760 ep3cls150 50,610,728 ep3cls200 50,610,728
9?8 chapter 9: configuration, design security, and remote system upgra des in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 the output resistance of the repeater buffers must fit the maximum overshoot equation shown in equation 9?1 : configuration process this section describes the configuration process. f for more information about the configuration cycle state machine of altera ? fpgas, refer to the configuring altera fpgas chapter in volume 1 of the configuration handbook. power up if the device is powered up from the power-down state, the v ccio for all the i/o banks must be powered up to the appropriate level for the device to exit por. to begin configuration, the required voltages listed in table 9?4 must be powered up to the appropriate voltage levels. reset when nconfig or nstatus is low, the device is in reset. after power-up, the cyclone iii device family goes through por. por delay depends on the msel pin settings, which correspond to your configuration scheme. depending on the configuration scheme, a fa st or standard por time is available. por time for fast por ranges between 3?9 ms. por time for standard por, which has a lower power-ramp rate, ranges between 50?200 ms. during por, the device resets, holds nstatus and conf_done low, and tri-states all user i/o pins. 1 the configuration bus is not tri-stated in por stage if the msel pins are set to as or ap mode. to tri-state the configuration bus for as and ap configuration schemes, you must tie nce high and nconfig low. for more information about the hardware implementation, refer to ?configuring with multiple bus masters? on page 9?30 . equation 9?1. (1) note to equation 9?1 : (1) z o is the transmission line impedance and r e is the equivalent resist ance of the output buffer. 0.8 z o r e 1.8 z o ?? table 9?4. power-up voltage for cyclone iii device family configuration device voltage that must be powered-up (1) cyclone iii v ccint , v cca , v ccio (2) cyclone iii ls v ccbat , v ccint , v cca , v ccio (2) notes to table 9?4 : (1) voltages must be powered up to the approp riate voltage levels to begin configuration. (2) v ccio is for banks in which the configuration and jtag pins reside.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?9 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 when the device exits por, all user i/o pins continue to tri-state. the user i/o pins and dual-purpose i/o pins have weak pull-u p resistors that are always enabled (after por) before and during configuration. af ter por, the cyclone iii device family releases nstatus , which is pulled high by an external 10-k ? pull-up resistor and enters configuration mode. when nconfig goes high, the device exits reset and releases the open-drain nstatus pin, which is then pulled high by an external 10-k ?? pull-up resistor. after nstatus is released, the device is ready to receive configuration data and the configuration stage begins. cyclone iii ls devices are accessible by limi ted jtag instructions after por. for more information about enabling full jtag instructions access, refer to ?jtag instructions? on page 9?60 . f for more information about the value of weak pull-up resistors on the i/o pins that are on before and during co nfiguration, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters. configuration configuration data is latched into th e cyclone iii device family at each dclk cycle. however, the width of the data bus and the configuration time taken for each scheme are different. after the device receives all the configuration data, the device releases the open-drain conf_done pin, which is pulled high by an external 10-k ?? pull-up resistor. a low-to-high transition on the conf_done pin indicates that configuration is complete and initialization of the device can begin. the conf_done pin must have an external 10-k ? pull-up resistor for the device to initialize. you can begin reconfiguration by pulling the nconfig pin low. the nconfig pin must be low for at least 500 ns. when nconfig is pulled low, the cycl one iii device family is reset. the cyclone iii de vice family also pulls nstatus and conf_done low and all i/o pins are tri-stated. when nconfig returns to a logic-high level and nstatus is released by the cyclone iii device fa mily, reconfiguration begins. configuration error if an error occurs during configuration, the cyclone iii device family asserts the nstatus signal low, indicating a data frame error, and the conf_done signal stays low. if the auto-restart configuration after error option (available in the quartus ii software from the general tab of the device and pin options dialog box) is turned on, the cyclone iii device family releases nstatus after a reset time-out period (a maximum of 230 ? s), and retries configuration. if this option is turned off, the system must monitor nstatus for errors and then pulse nconfig low for at least 500 ns to restart configuration.
9?10 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 initialization in cyclone iii device family, the clock sour ce for initialization is either a 10-mhz (typical) internal oscillator (separate from the as internal oscillator) or an optional clkusr pin. by default, the internal oscillator is the clock source for initialization. if you use the internal oscillator, the device provides itself with enough clock cycles for a proper initialization. when using the internal oscillator, you do not need to send additional clock cycles from an external source to the clkusr pin during the initialization stage. additionally, you can use the clkusr pin as a user i/o pin. you also have the flexibility to synchronize initialization of multiple devices or to delay initialization with the clkusr option. the clkusr pin allows you to control when your device enters user mode for an indefinite amount of time. you can turn on the enable user-supplied start-up clock (clkusr) option in the quartus ii software from the general tab of the device and pin options dialog box. when you turn on the enable user supplied start-up clock option (clkusr) option, the clkusr pin is the initialization clock source. supplying a clock on the clkusr pin does not affect the configuration process. after the co nfiguration data is accepted and conf_done goes high, the cyclone iii device family requires a certain am ount of clock cycles to initialize and to enter user mode. table 9?5 lists the required clock cycles for proper initialization in cyclone iii device family. table 9?6 lists the maximum clkusr frequency (f max ) for cyclone iii device family. 1 if you use the optional clkusr pin and the nconfig pin is pulled low to restart configuration during device initialization, ensure that the clkusr pin continues to toggle when nstatus is low (a maximum of 230 ? s). user mode an optional init_done pin is available that signals the end of initialization and the start of user mode with a low-to-high transition. the enable init_done output option is available in the quartus ii software from the general tab of the device and pin options dialog box. if you use the init_done pin, it is high due to an external 10-k ? pull-up resistor when nconfig is low and during the beginning of configuration. after the option bit to enable init_done is programmed into the device table 9?5. initialization clock cycles required in cyclone iii device family device initialization clock cycles cyclone iii 3,185 cyclone iii ls 3,192 table 9?6. maximum clkusr frequency for cyclone iii device family device f max (mhz) cyclone iii 133 cyclone iii ls 100
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?11 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 (during the first frame of configuration data), the init_done pin goes low. when initialization is complete, the init_done pin is released and pulled high. this low-to- high transition signals that the device has entered user mode. in user mode, the user i/o pins function as assigned in your design and no longer have weak pull-up resistors. configuration scheme a configuration scheme with different configu ration voltage standards is selected by driving the msel pins either high or low, as listed in table 9?7 . the msel pins are powered by v ccint . the msel[3..0] pins have 9-k ? internal pull-down resistors that are always active. 1 smaller cyclone iii devices or package options (e144, m164, q240, f256, and u256 packages) do not have the msel[3] pin. the as fast por configuration scheme at 3.0- or 2.5-v configuration voltage standard and the ap configuration scheme are not supported in cyclone iii devices without the msel[3] pin. to configure these devices with other supported configuration schemes, select the msel[2..0] pins according to the msel settings in table 9?7 . 1 hardwire the msel pins to v cca or gnd without any pull-u p or pull-down resistors to avoid any problems detecting an incorr ect configuration scheme. do not drive the msel pins with a microprocessor or another device. 1 the quartus ii software prohibits you from using the lvds i/o standard in i/o bank 1 when the configuration device i/o volt age is not 2.5 v. if you need to assign lvds i/o standard in i/o bank 1, navigate to assignments>device>settings>device and pin option>configuration to change the configuration device i/o voltage to 2.5 v or auto . table 9?7. cyclone iii device family configuration schemes (1) (part 1 of 2) configuration scheme msel configuration voltage standard (v) (2) , (3) 3210 fast active serial standard (as standard por) 0 0 1 0 3.3 fast active serial standard (as standard por) 0 0 1 1 3.0/2.5 fast active serial fast (as fast por) 1 1 0 1 3.3 fast active serial fast (as fast por) 0 1 0 0 3.0/2.5 active parallel 16 standard (ap standard por, for cyclone iii devices only) 0 1 1 1 3.3 active parallel 16 standard (ap standard por, for cyclone iii devices only) 1 0 1 1 3.0/2.5 active parallel 16 standard (ap standard por, for cyclone iii devices only) 1 0 0 0 1.8 active parallel 16 fast (ap fast por, for cyclone iii devices only) 0 1 0 1 3.3
9?12 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 as configuration (seria l configuration devices) in the as configuration scheme, cyclone iii de vice family is configured using a serial configuration device. these configuratio n devices are low-cost devices with non-volatile memories that feature a simple four-pin interface and a small form factor. these features make serial configuration devices the ideal low-cost configuration solution. f for more information about serial co nfiguration devices, refer to the serial configuration devices (epcs1, epcs4, epcs16, epcs64, and epcs128) data sheet chapter in volume 2 of the configuration handbook . in cyclone iii device family, the active ma ster clock frequency runs at a maximum of 40 mhz, and typically at 30 mhz. cyclone iii device family only work with serial configuration devices that support up to 40 mhz. serial configuration devices provide a seri al interface to access configuration data. during device configuration, cyclone iii device family reads configuration data using the serial interface, decompress data if ne cessary, and configure their sram cells. this scheme is referred to as the as configurat ion scheme because the device controls the configuration interface. active parallel 16 fast (ap fast por, for cyclone iii devices only) 0 1 1 0 1.8 passive serial standard (ps standard por) 0 0 0 0 3.3/3.0/2.5 passive serial fast (ps fast por) 1 1 0 0 3.3/3.0/2.5 fast passive parallel fast (fpp fast por) (4) 1 1 1 0 3.3/3.0/2.5 fast passive parallel fast (fpp fast por) (for cyclone iii devices only) (4) 1 1 1 1 1.8/1.5 fast passive parallel fast (fpp fast por) (for cyclone iii ls devices only) 0 0 0 1 1.8/1.5 fast passive parallel fast (fpp fast por) with encryption (for cyclone iii ls devices only) 0 1 0 1 3.3/3.0/2.5 fast passive parallel fast (fpp fast por) with encryption (for cyclone iii ls devices only) 0 1 1 0 1.8/1.5 jtag-based configuration (5) (6) (6) (6) (6) ? notes to table 9?7 : (1) altera recommends connect ing the msel pins to v cca or gnd depending on the msel pin settings. (2) the configuration voltage st andard is applied to the v ccio supply of the bank in which the configuration pins reside. (3) you must follow specific requirements when interfacing cyclone iii device family with 2.5-, 3.0-, and 3.3-v configuration vo ltage standards. for more information about these requirements, refer to ?configuration and jtag pin i/o requirements? on page 9?7 . (4) fpp configuration is not supported in the c yclone iii e144 device package of cyclone iii devices. (5) the jtag-based configuration takes preceden ce over other configuration schemes, whic h means the msel pi n settings are ignore d. (6) do not leave the msel pins floating. connect them to v cca or gnd. these pins support the non-jtag configuration scheme used in production. altera recommends connecting the msel pins to gnd if your device is only using the jtag configuration. table 9?7. cyclone iii device family configuration schemes (1) (part 2 of 2) configuration scheme msel configuration voltage standard (v) (2) , (3) 3210
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?13 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 1 if you want to gain control of the epcs pins, hold the nconfig pin low and pull the nce pin high to cause the device to reset and tri-state the as configuration pins. single-device as configuration the four-pin interface of serial configurat ion devices consists of the following pins: serial clock input ( dclk ) serial data output ( data ) as data input ( asdi ) active-low chip select ( ncs ) this four-pin interface connects to cyclone iii device family pins, as shown in figure 9?3 . 1 to tri-state the configuration bus for as configuration schemes, you must tie nce high and nconfig low. 1 when connecting a serial conf iguration device to a cyclon e iii device family in the single-device as configurat ion, you must connect a 25- ? series resistor at the near end of the serial conf iguration device for data[0] . the 25- ? resistor in the series works to minimize the driver impedance mi smatch with the board trace and reduce overshoot seen at the cyclone iii device family data[0] input pin. figure 9?3. single-device as configuration notes to figure 9?3 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) cyclone iii device family uses the asdo -to- asdi path to control the configuration device. (3) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (5) these are dual-purpose i/o pins. the ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (6) connect the series resistor at the near end of the serial configuration device. nstatus nco n fig co n f_do n e nce data[0] dclk ncso (5) asdo (5) nceo msel[3..0] data dclk ncs asdi v ccio (1) g n d 10 k v ccio (1) 10 k v ccio (1) 10 k n .c. (3 ) (4) (2) 25 (6) se r ial configu r a t ion device cyclone iii device family
9?14 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 in a single-device as configuration, th e maximum board loading and board trace length between the supported serial configuratio n device and the cyclone iii device family must follow the recommendations in table 9?9 on page 9?20 . the dclk generated by the cyclone iii device fami ly controls the entire configuration cycle and provides timing for the serial inte rface. cyclone iii devi ce family uses a 40- mhz internal oscillator to generate dclk . there are some variations in the internal oscillator frequency because of the process, voltage, and temperature conditions in cyclone iii device family. the internal osci llator is designed to ensure that its maximum frequency is guaranteed to m eet the epcs device specifications. 1 epcs1 does not support cyclone iii device fa mily because of its insufficient memory capacity. table 9?8 lists the as dclk output frequency for cyclone iii device family. in the as configuration scheme, the serial configuration device latches input and control signals on the rising edge of dclk and drives out configuration data on the falling edge. cyclone iii device family drives out control signals on the falling edge of dclk and latch configuration data on the falling edge of dclk . in configuration mode, the cyclone iii device family enables the serial configuration device by driving the ncso output pin low, which connects to the ncs pin of the configuration device. the cyclon e iii device family uses the dclk and data[1] pins to send operation commands and read address si gnals to the serial configuration device. the configuration device provides data on its data pin, which connects to the data[0] input of the cyclone iii device family. after all the configuration bits are received by the cyclone iii device family, it releases the open-drain conf_done pin, which is pulled high by an external 10-k ? resistor. initialization begins only after the conf_done signal reaches a logic-high level. all as configuration pins ( data[0] , dclk , ncso , and data[1] ) have weak internal pull-up resistors that are always active. after conf iguration, these pins are set as input tri- stated and are driven high by weak internal pull-up resistors. the conf_done pin must have an external 10-k ? pull-up resistor for the device to initialize. the timing parameters for as mode are not listed here because the t cf2cd , t cf2st0 , t cfg , t status , t cf2st1 , and t cd2um timing parameters are identical to the timing parameters for ps mode listed in table 9?13 on page 9?39 . multi-device as configuration you can configure multiple cyclone iii de vice family using a single serial configuration device. you can cascade mult iple cyclone iii device family using the chip-enable ( nce ) and chip-enable-out ( nceo ) pins. the first device in the chain must have its nce pin connected to gnd. you must connect its nceo pin to the nce pin of the next device in the chain. use an external 10-k ? pull-up resistor to pull the nceo signal high to its v ccio level to help the internal weak pu ll-up resistor. when the first device table 9?8. as dclk output frequency oscillator minimum typical maximum unit 40 mhz 20 30 40 mhz
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?15 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 captures all its configuration data from the bitstream, it drives the nceo pin low, enabling the next device in the chain. you can leave the nceo pin of the last device unconnected or use it as a user i/o pin afte r configuration if the last device in the chain is a cyclone iii device family. the nconfig , nstatus , conf_done , dclk , and data[0] pins of each device in the chain are connected ( figure 9?4 ). the first cyclone iii device family in th e chain is the configuration master and controls the configuration of the entire ch ain. you must connect its msel pins to select the as configuration scheme. the remaining cyclone iii device family is configuration slaves and you must connect their msel pins to select the ps configuration scheme. any other altera de vice that supports ps configuration can also be part of the chain as a configuration slave. figure 9?4. multi-device as configuration notes to figure 9?4 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (3) you can leave the nceo pin unconnected or use it as a user i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltage standards and por time. you must set the master device of the cyclone iii device family in as mode and the slav e devices in ps mo de. to connect msel[3..0] for the master device in as mode and slave devices in ps mode, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (5) these are dual-purpose i/o pins. the ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (6) connect the series resistor at the near end of th e serial configuration device. (7) connect the repeater buffers be tween the master and slave devices of the cyclone iii device family for data[0] and dclk . all i/o inputs must maintain a maximum ac voltage of 4.1 v. the ou tput resistance of the repeater buffers must fit the maximum overshoot equation ou tlined in ?configuration and jtag pin i/o requirements? on page 9?7 . (8) the 50- ? series resistors are optional if the 3.3-v configuration voltage standard is applied. for optimal si gnal integrity, connect th ese 50- ? series resistors if the 2.5- or 3.0-v config uration voltage standard is applied. nstatus nco n fig co n f_do n e nce data[0] dclk nceo msel[3..0] data dclk ncs asdi n .c. (3) (4) se r ial configu r a t ion device slave device of t he cyclone iii device family nstatus nco n fig co n f_do n e nce data[0] dclk ncso (5) asdo (5) nceo msel[3..0] (4) v ccio (1) g n d v ccio (1) v ccio (2) (6) v ccio (1) b u ffe rs (7) 10 k 10 k 10 k 10 k 25 50 (6), (8) 50 (8) ma s te r device of the c yclo n e iii device fa m ily
9?16 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 1 when connecting a serial conf iguration device to the cycl one iii device family in the multi-device as configuratio n, you must connect a 25- ? series resistor at the near end of the serial configuration device for data[0] . 1 in the multi-device as configuration, th e board trace length between the serial configuration device to the master device of the cyclone iii device family must follow the recommendations in table 9?9 on page 9?20 . you must also connect the repeater buffers between the master and slave device s of the cyclone iii device family for data[0] and dclk . all i/o inputs must maintain a maximum ac voltage of 4.1 v. the output resistance of the repeater buffer s must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . as shown in figure 9?4 on page 9?15 , the nstatus and conf_done pins on all target devices are connected together with exte rnal pull-up resistors. these pins are open-drain bidirectional pins on the devices. when the first device asserts nceo (after receiving all its configuratio n data), it releases its conf_done pin. however, the subsequent devices in the chain keep this shared conf_done line low until they receive their configuration data. when all targ et devices in the chain receive their configuration data and release conf_done , the pull-up resistor drives a high level on this line and all devices simultaneously enter initialization mode. 1 although you can cascade cy clone iii device family, se rial configuration devices cannot be cascaded or chained together. if the configuration bitstream size exceed s the capacity of a serial configuration device, you must select a larger configurat ion device, enable the compression feature, or both. when configuring multiple devices, the size of the bitstream is the sum of the individual devices configuration bitstreams. configuring multiple cyclone iii de vice family with the same design certain designs require you to configure mu ltiple cyclone iii device family with the same design through a configuration bitstream or a .sof . you can do this using the following methods: multiple sram object files single sram object file 1 for both methods, the serial configur ation devices cannot be cascaded or chained together. multiple sram object files two copies of the .sof are stored in the serial configur ation device. use the first copy to configure the master device of the cyclon e iii device family and the second copy to configure all the remaining slave devices co ncurrently. all slave devices must be of the same density and package. the setup is similar to figure 9?4 on page 9?15 , in which the master device is set up in as mode and the slave devices are set up in ps mode.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?17 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 to configure four identical cyclone iii device family with the same .sof , you must set up the chain similar to figure 9?5 . the first device is the master device and its msel pins must be set to select the as configur ation. the other three slave devices are set up for concurrent configuration and their msel pins must be set to select the ps configuration. the nceo pin from the master device drives the nce input pins on all three slave devices, as well as the data and dclk pins that connect in parallel to all four devices. during the first configurat ion cycle, the master device reads its configuration data from the serial configuration device while holding nceo high. after completing its configuration cycl e, the master device drives nce low and sends the second copy of the configuration data to all three slave devices, configuring them simultaneously. the advantage of using the setup in figure 9?5 is that you can have a different .sof for the master device. however, all the slave de vices must be configured with the same .sof . in this configuration method, you can either compress or uncompress the .sof s.
9?18 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 1 you can still use this method if the master and slave devices use the same .sof . figure 9?5. multi-device as configuration where the devices receive the same data with multiple sram object files notes to figure 9?5 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (3) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltag e standards and por time. you must set the master device in as mode and the slave devices in ps mode. to connect msel[3..0] for the master device in as mode and the slave devices in ps mode, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (5) these are dual-purpose i/o pins. the ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (6) connect the series resistor at the near end of th e serial configuration device. (7) connect the repeater buffers between the mast er and slave devices for data[0] and dclk . all i/o inputs must main tain a maximum ac voltage of 4.1 v. the output resistance of th e repeater buffers must fit the maxi mum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . (8) the 50- ? series resistors are optional if the 3.3- v configuration voltage standard is applie d. for optimal signal integrity, connect th ese 50- ? series resistors if the 2.5- or 3.0-v config uration voltage standard is applied. nstatus nco n fig co n f_do n e nce data[0] dclk nceo msel[3..0] n .c. (3) (4) slave device of t he cyclone iii device family nstatus nco n fig co n f_do n e nce data[0] dclk nceo msel[3..0] n .c. (3) (4) v ccio (1) v ccio (1) nstatus nco n fig co n f_do n e nce data[0] dclk nceo msel[3..0] n .c. (3) (4) nstatus nco n fig co n f_do n e nce data[0] dclk ncso (5) asdo (5) nceo msel[3..0] (4) data dclk ncs asdi se r ial configu r a t ion device g n d v ccio (1) v ccio (2) 10 k 10 k 10 k 10 k (6) 25 (6), 50 (8) b u ffe rs (7) (8) 50 slave device of t he cyclone iii device family slave device of t he cyclone iii device family mas t e r device of t he cyclone iii device family
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?19 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 single sram object file the second method configures both the master device and slave devices with the same .sof . the serial configur ation device stores one copy of the .sof . this setup is shown in figure 9?6 where the master is set up in as mode and the slave devices are set up in ps mode. you must set up one or more slave devices in the chain. all the slave devices must be set up as shown in figure 9?6 . in this setup, all the cycl one iii device family in the chain are connected for concurrent configuration. this can reduce the as configuration time because all the cyclone iii device family is configured in one configu ration cycle. connect the nce input pins of all the cyclon e iii device family to ground. you can either leave the nceo output pins on all the cyclone iii device family unconnected or use the nceo output pins as normal user i/o pins. the data and dclk pins are connected in parallel to all the cyclone iii device family. figure 9?6. multi-device as configuration where the devices receive the same data with a single .sof notes to figure 9?6 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (3) the msel pin settings vary for different configuration voltage standards and por time. you must set the master device of the cyclone iii device family in as mode and the slav e devices in ps mo de. to connect msel[3..0] for the master device in as mode and slave devices in ps mode, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (4) these are dual-purpose i/o pins. the ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (5) connect the series resistor at the near end of th e serial configuration device. (6) connect the repeater buffers between the mast er and slave devices for data[0] and dclk . all i/o inputs must main tain a maximum ac voltage of 4.1 v. the output resistance of the repeater buffers must fit the ma ximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . (7) the 50- ? series resistors are optional if the 3.3-v configuration voltage standard is appl ied. for optimal signal integrity, connect the se 50- ? series resistors if the 2.5- or 3.0-v config uration voltage standard is applied. nstatus nco n fig co n f_do n e nce data[0] dclk nceo msel[3..0] n .c. (2) (3) nstatus nco n fig co n f_do n e nce data[0] dclk nceo msel[3..0] n .c. (2) (3) nstatus nco n fig co n f_do n e nce data[0] dclk ncso (4) asdo (4) nceo msel[3..0] (3) data dclk ncs asdi se r ial configu r a t ion device g n d g n d g n d n .c. (2) v ccio (1) v ccio (1) v ccio (1) b u ffe rs (6) 10 k 10 k 10 k (5) 25 (7) 50 (5),(7) 50 slave device 2 of t he cyclone iii device family slave device 1 of t he cyclone iii device family mas t e r device of t he cyclone iii device family
9?20 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 altera recommends putting a buffer before the data and dclk output from the master device to avoid signal strength and integrit y issues. the buffer must not significantly change the data -to- dclk relationships or delay them with respect to other as signals ( asdi and ncs ). also, the buffer must only drive the slave devices to ensure that the timing between the master device and the se rial configuration device is unaffected. this configuration method supports both compressed and uncompressed .sof s. therefore, if the configuration bitstream size exceeds the capacity of a serial configuration device, you can enable the compression feature in the .sof or you can select a larger serial configuration device. guidelines for connecting serial confi guration device to cyclone iii device family on as interface for single- and multi-device as configurati ons, the board trace length and loading between the supported serial configuration de vice and cyclone iii device family must follow the recommendations listed in table 9?9 . estimating as configuration time as configuration time is dominated by the time it takes to transfer data from the serial configuration device to the cy clone iii device family. this serial interface is clocked by the cyclone iii device family dclk output (generated from an internal oscillator). equation 9?2 and equation 9?3 show the configuration time estimation for the cyclone iii device family. to estimate the typical configuration time, use the typical dclk period shown in figure 9?7 on page 9?22 . with a typical dclk period of 33.33 ns, the typical configuration time is 116.7 ms. enabli ng compression reduces the amount of configuration data that is sent to the cy clone iii device family , which also reduces configuration time. on average, compressi on reduces configuration time by 50%. table 9?9. maximum trace length and loading for the as configuration cyclone iii device family as pins maximum board trace length from the cyclone iii device family to the serial configuration device (inches) maximum board load (pf) dclk 10 15 data[0] 10 30 ncso 10 30 asdo 10 30 equation 9?2. equation 9?3. size maximum dclk period 1 bit ---------------------------------------------------------------- ?? ?? ? estimated maximum configuration ti = 3,500,000 bits 50 ns 1 bit ------------- ?? ?? ? 175 ms =
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?21 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 programming serial configuration devices serial configuration devices are non-volati le, flash memory-based devices. you can program these devices in-system using the usb-blaster? or byteblaster? ii download cable. alternatively, you can program them using the altera programming unit (apu), supported third-party prog rammers, or a microprocessor with the srunner software driver. you can perform in-system programming of serial configuration devices using the as programming interface. during in-system programming, the download cable disables device access to the as interface by driving the nce pin high. cyclone iii device family is also held in reset by a low level on nconfig . after programming is complete, the download cable releases nce and nconfig , allowing the pull-down and pull-up resistors to drive gnd and v cc , respectively. to perform in-system programming of a serial configuration device using the as programming interface, the diodes and capacito rs must be placed as close as possible to the cyclone iii device family. ensure th at the diodes and capacitors maintain a maximum ac voltage of 4.1 v ( figure 9?7 ). 1 if you wish to use the same setup shown in figure 9?7 to perform in-system programming of a serial configuration de vice and single- or multi-device as configuration, you do not need a series resistor on the data line at the near end of the serial configuration device. the existing diodes and capacitors are sufficient. altera has developed the serial flashloader (sfl), a jtag-based in-system programming solution for altera serial configuration devices. the sfl is a bridge design for the cyclone iii device family th at uses its jtag interface to access the epcs jic (jtag indirect configuration devi ce programming) file and then uses the as interface to program the epcs device. both the jtag interface and as interface are bridged together inside the sfl design. f for more information about implementing the sfl with cyclone iii device family, refer to an 370: using the serial flashloader with the quartus ii software . f for more information about the usb-bl aster download cable, refer to the usb-blaster download cable user guide . for more information about the byteblaster ii download cable, refer to the byteblaster ii downl oad cable user guide .
9?22 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 figure 9?7 shows the download cable connections to the serial configuration device. you can use the quartus ii software with the apu and the appropriate configuration device programming adapter to program se rial configuration de vices. all serial configuration devices are offered in an 8- or 16-pin small outline integrated circuit (soic) package. figure 9?7. in-system programming of serial configuration devices notes to figure 9?7 : (1) connect these pull- up resistors to the v ccio supply of the bank in which the pin resides. (2) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (3) power up the v cc of the byteblaster ii or usb-blaster download cable with the 3.3-v supply. (4) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or ground. (5) these are dual-purpose i/o pins. this ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (6) the diodes and capacitors must be placed as close as possible to the cyclone iii device family . ensure that the diodes and c apacitors maintain a maximum ac voltage of 4.1 v. the external di odes and capacitors are required to preven t damage to the cyclone iii device family as configuration input pins due to possible overshoot when programming the serial configuration device using a download cable. for effective vol tage clamping, altera recommends using the scho ttky diode, which has a relativel y lower forward diode voltage (v f) than the switching and zene r diodes. for more information about the interface guid elines using schottky diodes, refer to an 523: cyclone iii configuration interface guidelines with epcs devices. (7) when cascading cyclone iii device family in a multi-device as configuration, connect the repeater buffers between the master and slave devices for data[0] and dclk . all i/o inputs must maintain a maximu m ac voltage of 4.1 v. the output resistance of the repeater buffers must fit the maximum overshoot e quation outlined in ?configuration and jtag pin i/o requirements? on page 9?7. nstatus nco n fig co n f_do n e nce data[0] (7) dclk (7) ncso (5) asdo (5) nceo msel[3..0] (4) cyclone iii device family data dclk ncs asdi se r ial configu r a t ion device g n d g n d n .c. (2) v ccio (1) v ccio (1) v ccio (1) 3.3 v (3) g n d pi n 1 bytebla s te r ii o r u s b bla s te r 10-pi n male heade r 3.3 v 10 pf g n d g n d 10 pf (6) 10 pf g n d 10 pf g n d (6) 10 k 10 k 10 k 10 k 3.3 v 3.3 v 3.3 v
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?23 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 in production environments, serial co nfiguration devices are programmed using multiple methods. altera programming ha rdware or other third-party programming hardware is used to program blank serial configuration devices before they are mounted onto pcbs. alternatively, you can use an on-board microprocessor to program the serial configuration device in-system by porting the reference c-based srunner software driver provided by altera. a serial configuration device is programmed in-system by an external microprocessor using the srunner software driver. the srunner software driver is a software driver developed for embedded serial configuration device programming, which is easily customized to fit in different embedded syst ems. the srunner software driver is able to read a raw programming data ( .rpd ) file and write to the serial configuration devices. the serial configuration devi ce programming time using the srunner software driver is comparable to the programming time with the quartus ii software. f for more information about the srunner software driver, refer to an 418: srunner: an embedded solution for serial configuration device programming and the source code at the altera website ( www.altera.com ). ap configuration (supported flash memories) the ap configuration scheme is for cyclone iii devices only. in the ap configuration scheme, cyclone iii devices are configured using commodity 16-bit parallel flash memory. these external non-volatile conf iguration devices are industry standard microprocessor flash memories . the flash memories provide a fast interface to access the configuration data. the speed-up in conf iguration time is mainly due to the 16-bit wide parallel data bus, which is used to retrieve data from the flash memory. some of the smaller cyclone iii devices or package options do not support the ap configuration scheme and do not have the msel[3] pin. table 9?10 lists the supported ap configuration scheme fo r each cyclone iii device. during device configuration, cyclone iii devices read co nfiguration data using the parallel interface and configure their sram cell s. this scheme is referred to as the ap configuration scheme because the device controls the configuration interface. this scheme contrasts with the fp p configuration scheme, where an external host controls the interface. table 9?10. supported ap configuration scheme for cyclone iii devices device package options e144 m164 q240 f256 f324 f484 f780 u256 u484 ep3c5 ????????? ep3c10 ????????? ep3c16 ? ? ? ? ? v ?? v ep3c25 ? ? ? ? v ???? ep3c40 ? ? ? ? vvv ? v ep3c55 ? ? ? ? ? vv ? v ep3c80 ? ? ? ? ? vv ? v ep3c120 ????? vv ??
9?24 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 ap configuration supported flash memory the ap configuration controller in cyclone iii devices is designed to interface with the micron p30 parallel no r flash family and the micr on p33 parallel nor flash family, which are two industry standard flash families. unlike serial configuration devices, both of the flash families supp orted in the ap configuration scheme are designed to interface with microprocessors. by configuring from an industry standard microprocessor flash which allows access to the flash after entering user mode, the ap configuration scheme allows you to combine configuration data and user data (microprocessor boot code) on the same flash memory. the micron p30 and p33 flash families suppo rt a continuous synchronous burst read mode at 40 mhz dclk frequency for reading data from the flash. additionally, the micron p30 and p33 flash families have id entical pin-out and ad opt similar protocols for data access. 1 cyclone iii devices use a 40 -mhz oscillator for the ap configuration scheme. table 9?11 lists the supported families of the commodity parallel flash for the ap configuration scheme. the ap configuration scheme of cyclone ii i devices supports the micron p30 and p33 family 64-, 128-, and 256-mbit flash memori es. configuring cyclone iii devices from the micron p30 and p33 family 512-mbit flash memory is possible, but you must properly drive the extra address and flash_nce pins as required by these flash memories. 1 you must refer to the respective flash data sheets to check for supported speed grades and package options. the ap configuration scheme in cyclone ii i devices supports flash speed grades of 40 mhz and above. however, the ap configur ation for all these speed grades must be capped at 40 mhz. the advantage of faster sp eed grades is realized when your design in the cyclone iii device access es flash memory in user mode. f for more information about the operation of the micron p30 parallel nor and p33 flash memories, search for the keyword ?p30? or ?p33? on the micron website ( www.micron.com ) to obtain the p30 or p33 family data sheet. table 9?11. supported commodity flash for the ap configuration scheme for cyclone iii devices (1) flash memory density micron p30 flash family (2) micron p33 flash family (3) 64 mbit vv 128 mbit vv 256 mbit vv notes to table 9?11 : (1) the ap configuration scheme only supports flash memory speed grad es of 40 mhz and above. (2) 3.3- , 3.0-, 2.5-, and 1.8-v i/o options are supported for the micron p30 flash family. (3) 3.3-, 3.0- and 2.5-v i/o options are supported for the micron p33 flash family.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?25 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 single-device ap configuration the following groups of interface pins ar e supported in micron p30 and p33 flash memories: control pins address pins data pins following are the control signals from the supported parallel flash memories: clk active-low reset ( rst# ) active-low chip enable ( ce#) active-low output enable ( oe# ) active-low address valid ( adv# ) active-low write enable ( we# ) the supported parallel flash memo ries output a control signal ( wait ) to cyclone iii devices to indicate when synchronous data is ready on the data bus. cyclone iii devices have a 24-bit address bus connecting to the address bus ( a[24:1] ) of the flash memory. a 16-bit bidirectional data bus ( data[15..0] ) provides data transfer between the cyclone iii device and the flash memory. the following are the control signals from the cyclone iii device to flash memory: dclk nreset flash_nce noe navd nwe
9?26 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 the interface for the micron p30 flash me mory and p33 flash memory connects to cyclone iii device pins, as shown in figure 9?8 . 1 to tri-state the configuration bus for ap configuration schemes, you must tie nce high and nconfig low. 1 in a single-device ap configuration, th e maximum board loading and board trace length between the supported parallel flash and cyclone iii devices must follow the recommendations listed in table 9?12 on page 9?30 . 1 if you use the ap configuration sche me for cyclone iii devices, the v ccio of i/o banks 1, 6, 7, and 8 must be 3.3, 3.0, 2.5, or 1.8 v. altera does not recommend using the level shifter between the micron p30/p33 fl ash and the cyclone iii device in the ap configuration scheme. figure 9?8. single-device ap configuration using micron p30 and p33 flash memory notes to figure 9?8 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (3) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (4) the ap configuration ignores the wait signal during configuration mode. however, if you are accessing flash during user mode with user logic, you can optionally use a nor mal i/o to monitor the wait signal from the micron p30 or p33 flash. clk rst# ce# oe# adv# we# wait dq[15:0] a[24:1] dclk nreset flash_nce noe navd nwe i/o (4) data[15..0] padd[23..0] nce v ccio (1) v ccio (1) 10k ? 10k ? nconfig nstatus conf_done msel[3..0] (3) nceo n.c. (2) cyclone iii device micron p30/p33 flash gnd v ccio (1) 10k ?
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?27 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 1 there are no series resistors required in the ap configuration mode for cyclone iii devices when using the micron flash at 2.5- , 3.0-, and 3.3-v i/o standard. the output buffer of the micron p30 ibis model does not overshoot above 4.1 v. thus, series resistors are not required for the 2.5-, 3.0-, and 3.3-v ap configuration option. however, if there are any other devices sharing the same flash i/os with cyclone iii devices, all shared pins are still subject to the 4.1-v limit and may require series resistors. the default read mode of the supported para llel flash memory and all writes to the parallel flash memory are asynchronous. both the parallel flash families support a synchronous read mode, with data supplied on the positive edge of dclk . nreset is an active-low hard reset flash_nce is an active-low chip enable noe is an active-low output enable for the data[15..0] bus and wait pin navd is an active-low address valid signal and is used to write addresses into the flash nwe is an active-low write enable and is used to write data into the flash padd[23..0] bus is the address bus supplied to the flash data[15..0] bus is a bidirectional bu s used to supply and read data to and from the flash, with the flash output controlled by noe the serial clock ( dclk ) generated by cyclone iii de vices controls the entire configuration cycle and provides timing for the parallel interface. cyclone iii devices use a 40-mhz internal os cillator to generate dclk . the oscillator is the same oscillator used in the as configuration scheme. the active dclk output frequency is listed in table 9?8 on page 9?14 . multi-device ap configuration you can cascade multiple cyclone ii i devices using the chip-enable ( nce ) and chip- enable-out ( nceo ) pins. the first device in the chain must have its nce pin connected to gnd. connect its nceo pin to the nce pin of the next device in the chain. use an external 10-k ? pull-up resistor to pull the nceo signal high to its v ccio level to help the internal weak pull-up resistor. when the first device captures all its configuration data from the bitstream, it drives the nceo pin low, enabling the next device in the chain. you can leave the nceo pin of the last device unconnected or use it as a user i/o pin after configuration if the last device in the chain is a cyclone iii device. the nconfig , nstatus , conf_done , dclk , data[15..8] , and data[7..0] pins of each device in the chain are connected ( figure 9?9 on page 9?28 and figure 9?10 on page 9?29 ). the first cyclone iii device in the chain, as shown in figure 9?9 on page 9?28 and figure 9?10 on page 9?29 , is the configuration master device and controls the configuration of the entire chain. connect it s msel pins to select the ap configuration scheme. the remaining cyclone iii devices ar e used as configuration slaves. connect their msel pins to select the fpp configur ation scheme. any other altera device that supports fpp configuration can also be part of the chain as a configuration slave.
9?28 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 the following are the configurations for the data[15..0] bus in a multi-device ap configuration: byte-wide multi-device ap configuration word-wide multi-device ap configuration byte-wide multi-device ap configuration the simpler method for multi-device ap configuration is the byte-wide multi-device ap configuration. in the byte-wide multi-device ap configuration, the lsb of the data[7..0] pin from the flash and master device (set to the ap configuration scheme) is connected to the slave devices set to the fpp configuration scheme, as shown in figure 9?9 . figure 9?9. byte-wide multi-device ap configuration notes to figure 9?9 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (3) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltag e standards and por time. you must set the master device in ap mode and the slave devices in fpp mode. to connect msel[3..0] for the master device in ap mode and the slave devi ces in fpp mode, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (5) the ap configuration ignores the wait signal during configuration mode. however, if you are accessing flash during user mode with user logic, you can optionally use the no rmal i/o to monitor the wait signal from the micr on p30 or p33 flash. (6) connect the repeater bu ffers between the master device and slave devices for data[15..0] and dclk . all i/o inputs must maintain a maximum ac voltage of 4.1 v. the output resistan ce of the repeater buffers must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . clk rst# ce# oe# adv# we# wait dq[15:0] a[24:1] dclk nreset flash_nce noe navd nwe i/o (5) data[15..0] padd[23..0] nce v ccio (1) v ccio (1) nconfig nstatus conf_done msel[3..0] (4) nceo n.c. (3 ) cyclone iii master device micron p30/p33 flash gnd data[7..0] dclk nce nconfig nstatus conf_done msel[3..0] (4) nceo cyclone iii slave device data[7..0] dclk nce nconfig nstatus conf_done msel[3..0] (4) nceo cyclone iii slave device v ccio (2) v ccio (2) buffers (6) dq[7..0] dq[7..0] 10 k 10 k 10 k 10 k v ccio (1) 10 k
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?29 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 word-wide multi-device ap configuration the more efficient setup is one in which some of the slave devices are connected to the lsb of data[7..0] and the remaining slave devices are connected to the msb of data[15..8] . in the word-wide multi-device ap configuration, the nceo pin of the master device enables two separate daisy-chains of slave devices, allowing both chains to be programmed concurrently, as shown in figure 9?10 . 1 in a multi-device ap configuration, the bo ard trace length between the parallel flash and the master device must follow the recommendations listed in table 9?12 . figure 9?10. word-wide multi-device ap configuration notes to figure 9?10 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (3) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltag e standards and por time. you must set the master device in ap mode and the slave devices in fpp mode. to connect msel[3..0] for the master device in ap mode and the slave devi ces in fpp mode, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (5) the ap configuration ignores the wait signal during configuration mode. however, if you are accessing flash during user mode with user logic, you can optionally use the norm al i/o pin to monitor the wait signal from the micron p30 or p33 flash. (6) connect the repeater buffers between the cyclone iii master device and slave devices for data[15..0] and dclk . all i/o inputs must maintain a maximum ac voltage of 4.1 v. the output resi stance of the repeater buffers must fit th e maximum overshoot eq uation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . clk rst# ce# oe# adv# we# wait dq[15:0] a[24:1] dclk nreset flash_nce noe navd nwe i/o (5) data[15..0] padd[23..0] nce v ccio (1) v ccio (1) 10 k 10 k nconfig nstatus conf_done msel[3..0] (4) nceo n.c. (3) cyclone iii master device micron p30/p33 flash gnd data[7..0] dclk nce nconfig nstatus conf_done msel[3..0] (4) nceo cyclone iii slave device data[7..0] dclk nce nconfig nstatus conf_done msel[3..0] (4) nceo cyclone iii slave device v ccio (2) 10 k v ccio (2) 10 k buffers (6) dq[7..0] n.c. (3) data[7..0] dclk nce nconfig nstatus conf_done msel[3..0] (4) nceo cyclone iii slave device data[7..0] dclk nce nconfig nstatus conf_done msel[3..0] (4) nceo cyclone iii slave device dq[7..0] v ccio (1) dq[15..8] dq[15..8] v ccio (1) 10 k 10 k
9?30 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 as shown in figure 9?9 and figure 9?10 , the nstatus and conf_done pins on all target devices are connected together with external pull-up resistors. these pins are open- drain bidirectional pins on the devices. when the first device asserts nceo (after receiving all its configuratio n data), it releases its conf_done pin. however, the subsequent devices in the chain keep this shared conf_done line low until they receive their configuration data. when all targ et devices in the chain receive their configuration data and release conf_done , the pull-up resistor drives a high level on this line and all devices simultaneously enter initialization mode. guidelines for connecting parallel flash to cyclone iii devices for the ap interface for the single- and multi-device ap configur ation, the board trace length and loading between the supported parallel flash an d cyclone iii devices must follow the recommendations listed in table 9?12 . these recommendations also apply to an ap configuration with multiple bus masters. configuring with multiple bus masters similar to the as configuration scheme, the ap configuration scheme supports multiple bus masters for the parallel flash. for another master to take control of the ap configuration bus, the master must assert nconfig low for at least 500 ns to reset the master cyclone iii device and override the weak 10 k ? pull-down resistor on the nce pin. this resets the master cyclone iii device and causes it to tri-state its ap configuration bus. the other master then ta kes control of the ap configuration bus. after the other master is done, it releases the ap configuration bus, then releases the nce pin, and finally pulses nconfig low to restart the configuration. in the ap configuration scheme, multiple ma sters share the parallel flash. similar to the as configuration scheme, the bu s control is negotiated by the nce pin. table 9?12. maximum trace length and loading for the ap configuration cyclone iii ap pins maximum board trace length from the cyclone iii device to the flash device (inches) maximum board load (pf) dclk 615 data[15..0] 630 padd[23..0] 630 nreset 630 flash_nce 630 noe 630 navd 630 nwe 630 i/o (1) 630 note to table 9?12 : (1) the ap configuration ignores the wait signal from the flash during confi guration mode. however, if you are accessing flash duri ng user mode with user lo gic, you can optionally use th e normal i/o to monitor the wait signal from the micron p30 or p33 flash.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?31 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 figure 9?11 shows the ap configuration with multiple bus masters. figure 9?11. ap configuration with multiple bus masters notes to figure 9?11 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (3) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (4) the ap configuration ignores the wait signal during configuration mode. however, if you are accessing flash during user mode with user logic, you can optionally use the no rmal i/o to monitor the wait signal from the micr on p30 or p33 flash. (5) when cascading cyclone iii devices in a mu lti-device ap configuration, connect the re peater buffers between the master device and slave devices for data[15..0] and dclk . all i/o inputs must maintain a maximu m ac voltage of 4.1 v. the output resistance of the repeater buffers must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . (6) the other master device must fit the maximum oversh oot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . (7) the other master device can control the ap configuration bus by driving the nce pin to high with an output high on the i/o p in. (8) the other master device can pulse nconfig if it is under system control rather than tied to v ccio . clk rst# ce# oe# adv# we# wait dq[15:0] a[24:1] i/o (7) nconfig (8) clk rst# ce# oe# adv# we# wait dq[15:0] a[24:1] dclk (5) nreset flash_nce noe navd nwe i/o (4) data[15..0] (5) padd[23..0] nce v ccio (1) v ccio (1) 10 k ? 10 k ? nconfig nstatus conf_done msel[3..0] (3 ) nceo cyclone iii master device micron p30/p33 flash gnd 10 k ? (2 ) other master device (6) v ccio (1) 10 k ?
9?32 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 figure 9?12 shows the recommended balanced star routing for multiple bus master interfaces to minimize signal integrity issue. estimating the ap configuration time ap configuration time is dominated by the time it takes to transfer data from the parallel flash to the cyclone iii devices. this parallel interface is clocked by the cyclone iii dclk output (generated from an inte rnal oscillator). as listed in table 9?8 on page 9?14 , the dclk minimum frequency when using the 40-mhz oscillator is 20 mhz (50 ns). in word-wid e cascade programming, the data[15..0] bus transfers a 16-bit word and essentially cu ts configuration time to a pproximately 1/16 of the as configuration time. therefore, the maximu m configuration time estimation for an ep3c40 device (9,600,000 bits of uncompressed data) is defined in equation 9?4 and equation 9?5 . to estimate a typical config uration time, use the typical dclk period listed in table 9?8 on page 9?14 . with a typical dclk period of 33.33 ns, the typi cal configuration time is 20 ms. figure 9?12. balanced star routing notes to figure 9?12 : (1) altera does not recommend m to exceed six inch es as listed in table 9?12 on page 9?30 . (2) altera recommends using a balanced star routing. try to keep the n length equal and as shor t as possible to minimize reflection noise from the transmission line. the m length is applicable for this setup. equation 9?4. equation 9?5. external master de v ice cyclone iii master de v ice micron flash dclk m (1) n (2) n (2) size maximum dclk period 16 bits per dclk cycle ---------------------------------------------------------------- ?? ?? ? estimated maximum configuration ti = 9,600,000 bits 50 ns 16 bits ---------------- - ?? ?? ? 30 ms =
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?33 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 programming parallel flash memories supported parallel flash memories are exte rnal non-volatile co nfiguration devices. they are industry standard microprocess or flash memories. for more information about the supported families for the commodity parallel flash, refer to table 9?11 on page 9?24 . cyclone iii devices in a single- or multiple-device chains support in-system parallel flash programming with the jtag interface using the flash loader megafunction. for cyclone iii devices, the board-intelligent host or download cable uses four jtag pins to program the parallel flas h in system, even if the host or download cable cannot access the configuration pi ns of the parallel flash. f for more information about using the jtag pins on cyclone iii devices to program the parallel flash in-system, refer to an 478: using fpga-based parallel flash loader (pfl) with the quartus ii software . in the ap configuration scheme, the de fault configuration boot address is 0010000 when represented in 16-bit word addressing in the supported pa rallel flash memory ( figure 9?13 ). in the quartus ii software, the de fault configuration boot address is 0x020000 because it is represented in 8- bit byte addressing. cyclone iii devices configure from word address 0x010000, which is equivalent to byte address 0x020000. 1 the quartus ii software uses byte addres sing for the default configuration boot address. you must set the start address field to 0x020000 .
9?34 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 the default configuration boot addressing allows the system to use special parameter blocks in the flash memory map. parameter blocks are at the top or bottom of the memory map. the configuration boot addr ess in the ap configuration scheme is shown in figure 9?13 . you can change the default configuration default boot address 0x010000 to any desired address using the apfc_boot_addr jtag instruction. for more information about the apfc_boot_addr jtag instruction, refer to ?jtag instructions? on page 9?60 . ps configuration you can perform ps configuration on cyclon e iii device family with an external intelligent host, such as a max ii device , microprocessor with flash memory, or a download cable. in the ps scheme, an ex ternal host controls the configuration. configuration data is clocked into the ta rget cyclone iii devi ce family using the data[0] pin at each rising edge of dclk . if your system already contains a common flash interface (cfi) flash memory, you can use it for the cyclone iii device family co nfiguration storage as well. the max ii pfl feature provides an efficient method to program cfi flash memory devices through the jtag interface and provides the logic to control the configuration from the flash memory device to the cyclone iii device family. both ps and fpp configuration schemes are supported using the pfl feature. f for more information about the pfl, refer to parallel flash loader megafunction user guide . 1 cyclone iii device family do es not support enhanced conf iguration devices for ps or fpp configurations. figure 9?13. configuration boot address in ap flash memory map note to figure 9?13 : (1) the default configuration boot address is x0 10000 when represented in 16-bit word addressing. other data/code configuration data configuration data 128-kb parameter area x000000 other data/code other data/code x010000 (1) x00fff x000000 128-kb parameter area bottom parameter flash memory top parameter flash memory 16-bit word bit[15] bit[0] 16-bit word bit[15] cyclone iii default boot address x010000 (1) x00fff cyclone iii default boot address bit[0]
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?35 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 ps configuration using an external host in the ps configuration scheme, you can us e an intelligent host such as max ii or microprocessor that controls the transfer of configuration data from a storage device, such as flash memory, to the target cy clone iii device family. you can store the configuration data in .rbf , .hex , or .ttf format. figure 9?14 shows the configuratio n interface connections between a cyclone iii device family and an external host de vice for a single-device configuration. to begin configuration, the external ho st device must generate a low-to-high transition on the nconfig pin. when nstatus is pulled high, the external host device must place the configuration data one bit at a time on the data[0] pin. if you are using configuration data in a .rbf , .ttf , or .hex file, you must first send the lsb of each data byte. for example, if the .rbf contains the byte sequence 02 1b ee 01 fa, the serial bitstream you must send to the device is: 0100-0000 1101-1000 0111-0111 1000-0000 0101-1111 cyclone iii device family receiv es configuration data on the data[0] pin and the clock is received on the dclk pin. data is latched into the device on the rising edge of dclk . data is continuously clocked into the target device until conf_done goes high and the device enters the initialization state. 1 two dclk falling edges are required after conf_done goes high to begin device initialization. the init_done pin is released and pulled high when initialization is complete. the external host device must be able to dete ct this low-to-high transition which signals the device has entered user mode. when initialization is complete, the device enters user mode. in user mode, the user i/o pins no longer have weak pull-up resistors and function as assigned in your design. figure 9?14. single-device ps configuration using an external host notes to figure 9?14 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the device. v cc must be high enough to meet the v ih specification of the i/o on th e device and the external host. (2) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (3) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or ground. (4) all i/o inputs must maintain a maximum ac voltage of 4.1 v. data[0] and dclk must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . external host (max ii de v ice or microprocessor) memory addr nstatus co n f_do n e nce nceo data[0] g n d v ccio (1) v ccio (1) msel[3..0] n .c. (2) data[0] (4) nco n fig dclk (4) (3) cyclone iii de v ice family 10 k 10 k
9?36 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 to ensure dclk and data[0] are not left floating at the end of configuration, the max ii device must drive them either high or low, whichever is convenient on your board. the data[0] pin is available as a user i/o pin af ter configuration. in the ps scheme, the data[0] pin is tri-stated by default in user mode and must be driven by the external host device. to change this defaul t option in the quartus ii software, select the dual-purpose pins tab of the device and pin options dialog box. the configuration clock ( dclk ) speed must be below the specified system frequency to ensure correct configuration ( figure 9?19 on page 9?42 ). no maximum dclk period exists, which means you can pause configuration by halting dclk for an indefinite amount of time. if a configuration error occurs during configuration and the auto-restart configuration after error option is turned on, the cy clone iii device family releases nstatus after a reset time-out pe riod (a maximum of 230 ? s). after nstatus is released and pulled high by a pull-up resistor, the ex ternal host device tries to reconfigure the target device without needing to pulse nconfig low. if this option is turned off, the external host device must generate a low-to-high transition (with a low pulse of at least 500 ns) on nconfig to restart the configuration process. the external host device can also monitor the conf_done and init_done pins to ensure successful configuration. the conf_done pin must be monitored by the external device to detect errors and to determine when the programming is complete. if all configuration data is sent, but conf_done or init_done has not gone high, the external device must reconfigure the target device. figure 9?15 shows how to configure multiple devices using an external host device. this circuit is similar to the ps configuration circuit for a single device, except that the cyclone iii device family is cascaded for multi-device configuration. figure 9?15. multi-device ps configuration using an external host notes to figure 9?15 : (1) the pull-up resistor must be connect ed to a supply that provides an acceptabl e input signal for all devices in the chain. v cc must be high enough to meet the v ih specification of the i/o on the device and the external host. (2) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (3) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or ground. (5) all i/o inputs must maintain a maximum ac voltage of 4.1 v. data[0] and dclk must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . external host (max ii de v ice or microprocessor) memory addr cyclone iii de v ice family 1 nstatus conf_done 10 k ? nce nceo data[0] gnd v ccio (1) v ccio (1) 10 k ? msel[3..0] data[0] (5) nconfig dclk (5) nstatus conf_done nce nceo msel[3..0] n.c. (3 ) data[0] (5) nconfig dclk (5) v ccio (2) 10 k ? cyclone iii de v ice family 2 (4) (4) buffers (5)
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?37 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 in a multi-device ps configuration, the nce pin of the first device is connected to gnd while its nceo pin is connected to the nce pin of the next device in the chain. the nce input of the last device comes fr om the previous device, while its nceo pin is left floating. after the first device completes co nfiguration in a multi-device configuration chain, its nceo pin drives low to activate the nce pin of the second device, which prompts the second device to begin config uration. the second device in the chain begins configuration in one clock cycle. theref ore, the transfer of data destinations is transparent to the external host device. all other configuration pins ( nconfig , nstatus , dclk , data[0] , and conf_done ) are connected to every device in the chain. configuration signals can require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered. because all device conf_done pins are tied together, all devices initialize and enter user mode at the same time. if any device detects an erro r, configuration stops for the entire chain and the entire chain must be reconfigured because all nstatus and conf_done pins are tied together. for example, if the first device flags an error on nstatus , it resets the chain by pulling its nstatus pin low. this behavior is similar to a single device detecting an error. you can have multiple devices that contai n the same configuration data in your system. to support this configuration scheme, all device nce inputs are tied to gnd, while the nceo pins are left floating. al l other configuration pins ( nconfig , nstatus , dclk , data[0] , and conf_done ) are connected to every device in the chain. configuration signals can require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered. devices must be of the same density and package. all de vices start and complete configuration at the same time.
9?38 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 figure 9?16 shows a multi-device ps configurat ion when both cyclone iii device family is receiving the same configuration data. ps configuration timing a ps configuration must meet the setu p and hold timing parameters and the maximum clock frequency. when using a micr oprocessor or another intelligent host to control the ps interface, ensure th at you meet these timing requirements. figure 9?16. multi-device ps configuration when both devices receive the same data notes to figure 9?16 : (1) the pull-up resistor must be connect ed to a supply that provides an acceptabl e input signal for all devices in the chain. v cc must be high enough to meet the v ih specification of the i/o on the device and the external host. (2) the nceo pins of both devices are left unconnec ted or used as user i/o pins when configuring the same configuration data into multiple devices. (3) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or ground. (4) all i/o inputs must maintain a maximum ac voltage of 4.1 v. data[0] and dclk must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . external host (max ii de v ice or microprocessor) memory addr cyclone iii de v ice family nstatus conf_done nce nceo data[0] gnd msel[3..0] data[0] (4) nconfig dclk (4) nstatus conf_done nce nceo msel[3..0] n.c. (2 ) data[0] (4) nconfig dclk (4) cyclone iii de v ice family n.c. (2) gnd (3) (3) buffers (4) 10 k ? v ccio (1) v ccio (1) 10 k ?
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?39 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 figure 9?17 shows the timing waveform for a ps configuration when using an external host device as an external host. table 9?13 lists the ps configuration timing para meters for cyclone iii device family. figure 9?17. ps configuration timing waveform (1) notes to figure 9?17 : (1) the beginning of this waveform shows th e device in user mode. in user mode, nconfig , nstatus , and conf_done are at logic-high levels. when nconfig is pulled low, a reconfiguration cycle begins. (2) after power-up, the cyclone iii device family holds nstatus low during por delay. (3) after power-up, before and during configuration, conf_done is low. (4) in user mode, drive dclk either high or low when using the ps configur ation scheme, whichever is more convenient. when using the as configuration scheme, dclk is a cyclone iii device family out put pin and must not be driven externally. (5) do not leave the data[0] pin floating after configuration. drive it high or low, whicheve r is more convenient. nco n fig nstatus (2) co n f_do n e (3) dclk data[0] user i/o i n it_do n e bit 0 bit 1 bit 2 bit 3 bit n t cd2um t cf2st1 t cf2cd t cfg t ch t cl t dh t dsu t cf2ck t status t clk t cf2st0 t st2ck user mode (5) tri-stated w ith internal p u ll- u p resistor (4) table 9?13. ps configuration timing parameters for cyclone iii device family (part 1 of 2) symbol parameter minimum maximum unit t cf2cd nconfig low to conf_done low ? 500 ns t cf2st0 nconfig low to nstatus low ? 500 ns t cfg nconfig low pulse width 500 ? ns t status nstatus low pulse width 45 800 (1) ? s t cf2st1 nconfig high to nstatus high ? 800 (2) ? s t cf2ck nconfig high to first rising edge on dclk 800 (1) ? ? s t st2ck nstatus high to first rising edge of dclk 2? ? s t dsu data setup time before rising edge on dclk 5?ns t dh data hold time after rising edge on dclk 0?ns t ch dclk high time 3.2 ? ns t cl dclk low time 3.2 ? ns t clk dclk period 7.5 ? ns f max dclk frequency ? 100 (4) mhz t cd2um conf_done high to user mode (3) 300 650 ? s t cd2cu conf_done high to clkusr enabled 4 maximum dclk period ? ?
9?40 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 ps configuration using a download cable in this section, the generic term "downloa d cable" includes the altera usb-blaster universal serial bus (usb) port download cable, masterblaster? serial/usb communications cable, byteblaster ii pa rallel port download cable, the byteblastermv? parallel port download cable, and the ethernet-blaster communications cable. in the ps configuration with a download ca ble, an intelligent host (such as a pc) transfers data from a storage device to the device using the download cable. the programming hardware or download ca ble then places the configuration data one bit at a time on the data[0] pin of the device. the conf iguration data is clocked into the target device until conf_done goes high. the conf_done pin must have an external 10-k ? pull-up resistor for the device to initialize. when you use a download cable, setting the auto-restart configuration after error option does not affect the configuration cycle because you must manually restart configuration in the quartus ii software when an error occurs. additionally, the enable user-supplied start-up clock (clkusr) option has no effect on the device initialization because this option is disabled in the .sof when programming the device using the quartus ii programmer and download cable. therefore, if you turn on the clkusr option, you do not need to provide a clock on clkusr when you are configuring the device with the quartu s ii programmer and a download cable. t cd2umc conf_done high to user mode with clkusr option on t cd2cu + (initialization clock cycles clkusr period) (5) ?? notes to table 9?13 : (1) this value is applicable if you do not delay configuration by extending the nconfig or nstatus low pulse width. (2) this value is applicable if you do not delay configuration by externally holding nstatus low. (3) the minimum and maximum numbers apply only if the internal o scillator is chosen as the clock source for starting the device. (4) cyclone iii devices can support a dclk f max of 133 mhz. cyclone iii ls devices can support a dclk f max of 100 mhz. (5) for more information about the initialization clock cycles required in cyclone iii device family, refer to table 9?5 on page 9?10 . table 9?13. ps configuration timing parameters for cyclone iii device family (part 2 of 2) symbol parameter minimum maximum unit
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?41 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 figure 9?18 shows ps configuration for cyclone iii device family using a download cable. you can use a download cable to configure multiple cyclone iii device family by connecting the nceo pin of each device to the nce pin of the subsequent device. the nce pin of the first device is connected to gnd while its nceo pin is connected to the nce pin of the next device in the chain. the nce input of the last device comes from the previous device while its nceo pin is left floating. al l other configuration pins, nconfig , nstatus , dclk , data[0] , and conf_done are connected to every device in the chain. because all conf_done pins are tied together, all de vices in the chain initialize and enter user mode at the same time. in addition, the entire chain halts configurat ion if any device detects an error because the nstatus pins are tied together. the auto-restart configuration after error option does not affect the configuration cycl e because you must manually restart configuration in the quartus ii software when an error occurs. figure 9?18. ps configuration using a usb-blaster, masterblaster, byteblaster ii, byteblastermv, or ethernet blaster cable notes to figure 9?18 : (1) the pull-up resistor must be connect ed to the same supp ly voltage as the v cca supply. (2) you only need the pull-up resistors on data[0] and dclk if the download cable is the only configuration scheme used on your board. this is to ensure that data[0] and dclk are not left floating after configuration. for example, if you are also using a configuration device , you do not need the pull-up resistors on data[0] and dclk . (3) pin 6 of the header is a v io reference voltage for the ma sterblaster output driver. v io must match the v cca of the device. for this value, refer to the masterblaster serial/usb comm unications cable user guide . for the usb blaster, byteblaster ii, byteblaster mv, and ethernet blaster, this pin is a no connect. (4) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (5) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 for ps configuration schemes. conn ect the msel pins directly to v cca or gnd. (6) power up the v cc of the byteblaster ii, usb-blaster, or bytebl astermv cable with a 2.5- v supply from v cca . third-party programmers must switch to 2.5 v. pin 4 of the header is a v cc power supply for the masterblaster cable. the masterblaster cable can receive power from either 5.0- or 3.3-v circuit bo ards, dc power supply, or 5.0 v from the usb cable. for this value, refer to the masterblaster serial/usb commu nications cable user guide . msel[3..0] (5) nco n fig co n f_do n e v cca (1) v cca (6) shield g n d v cca (1) g n d v cca (1) 10k 10k v cca (1) 10k 10 k nstatus pin 1 dow n load cable 10-pi n male heade r (top view) g n d v io (3) cyclone iii de v ice family nceo dclk data[0] nce v cca (1) n .c. (4) (2) (2) 10k
9?42 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 figure 9?19 shows ps configuration for multi cyclone iii device family using a masterblaster, usb-blaster, byteblaster ii, or byteblastermv cable. fpp configuration the fpp configuration in cyclone iii device fa mily is designed to meet the increasing demand for faster configurat ion time. cyclone iii device fa mily is designed with the capability of receiving byte-wide configuration data per clock cycle. figure 9?19. multi-device ps configuration using a usb-blaster, masterblaster, byteblaster ii, byteblastermv, or ethernet blaster cable notes to figure 9?19 : (1) the pull-up resistor must be connect ed to the same supp ly voltage as the v cca supply. (2) you only need the pull-up resistors on data[0] and dclk if the download cable is the only configuration scheme used on your board. this is to ensure that data[0 ] and dclk are not left floating after configuration. for example, if you are also using a configuration device, you do not need the pull-up resistors on data[0] and dclk . (3) pin 6 of the header is a v io reference voltage for the ma sterblaster output driver. v io must match the v cca of the device. for this value, refer to the masterblaster serial/usb commun ications cable user guide . in byteblastermv, this pin is a no connect. in usb-bl aster, byteblaster ii, and ethernet blaster, this pin is connected to nce when it is used for as programming. otherwise, it is a no connect. (4) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (5) the nceo pin of the last device in the chain is left unconnected or used as a user i/o pin. (6) the msel pin settings vary for different configur ation voltage standards and por time. to connect msel[3..0] for ps configuration schemes, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (7) power up the v cc of the byteblaster ii, usb-blaster, or byte blastermv cable with a 2.5- v supply from v cca . third-party programmers must switch to 2.5 v. pin 4 of the header is a v cc power supply for the masterblaster cable. the masterblaster cable can receive power from either 5.0- or 3.3-v circuit bo ards, dc power supply, or 5.0 v from the usb cable. for this value, refer to the masterblaster serial/usb commu nications cable user guide . cyclone iii de v ice family 1 cyclone iii de v ice family 2 msel[3..0] (6) nce nco n fig co n f_do n e dclk nce nceo nco n fig co n f_do n e dclk nceo g n d (pa ss ive s e r ial mode) v cca (7) v cca (1) g n d v cca (1) v cca (1) nstatus nstatus data[0] data[0] msel[3..0] (6) pi n 1 dow n load cable 10-pi n male heade r n .c. (5) v io (3) g n d v cca (1) (2) v cca (1) (2) v ccio (4) 10 k 10 k 10 k 10 k 10 k 10 k
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?43 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 you can perform the fpp configuration of cyclone iii device family with an intelligent host, such as a max ii device or micropro cessor with flash memory. if your system already contains a cfi flash memory, you can use it for the cyclone iii device family configuration storage as well. the max ii pf l feature in max ii devices provides an efficient method to program cfi flash me mory devices through the jtag interface and the logic to control configuration from the flash memory device to the cyclone iii device family. both ps and fpp configuration schemes are supported using this pfl feature. f for more information about the pfl, refer to parallel flash loader megafunction user guide . 1 cyclone iii device family do es not support enhanced conf iguration devices for ps or fpp configurations. 1 fpp configuration is not supported in th e e144 package of cyclone iii devices. fpp configuration using an external host the fpp configuration using an external host provides a fast method to configure cyclone iii device family. in the fpp conf iguration scheme, you can use an external host device to control the tran sfer of configuration data from a storage device, such as flash memory, to the target cyclone iii devi ce family. you can store configuration data in either an .rbf , .hex , or .ttf format. when using the external host, a design that controls the configuration process, such as fetching the data from flash memory and sending it to the device, must be stored in the external host device. figure 9?20 shows the configuration interface connections betw een the cyclone iii device family and an external device for single-device configuration. after nstatus is released, the device is ready to receive configuration data and the configuration stage begins. when nstatus is pulled high, the external host device places the configuration data one byte at a time on the data[7..0] pins. figure 9?20. single-device fpp configuration using an external host notes to figure 9?20 : (1) connect the pull-up resistor to a supply that provides an acceptable input signal for the device. v cc must be high enough to meet the v ih specification of the i/o on th e device and the external host. (2) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (3) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (4) all i/o inputs must maintain a maximum ac voltage of 4.1 v. data[7..0] and dclk must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . external host (max ii de v ice or microprocessor) memory addr cyclone iii de v ice family nstatus conf_done 10 k ? nce nceo data[7..0] gnd v ccio (1) v ccio (1) 10 k ? msel[3..0] n.c. (2 ) data[7..0] (4) nconfig dclk (4) (3)
9?44 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 cyclone iii device fa mily receives configuration data on the data[7..0] pins and the clock is received on the dclk pin. data is latched into the device on the rising edge of dclk . data is continuously clocked into the target device until conf_done goes high. the conf_done pin goes high one byte early in fpp configuration mode. the last byte is required for serial configuration (as and ps) modes. 1 two dclk falling edges are required after conf_done goes high to begin the initialization of the device. supplying a clock on clkusr does not affect the configuration process. after the conf_done pin goes high, clkusr is enabled after the time specified as t cd2cu . after this time period elapses, cyclone iii device family requires cert ain amount of clock cycles to initialize properly and enter user mode. for more information about the initialization clock cycles required in the cyclone iii device family, refer to table 9?5 on page 9?10 . for more information about the supported clkusr f max value for cyclone iii device family, refer to table 9?14 on page 9?47 . the init_done pin is released and pulled high when initialization is complete. the external host device must be able to dete ct this low-to-high transition which signals the device has entered user mode. when initialization is complete, the device enters user mode. in user mode, the user i/o pins no longer have weak pull-up resistors and function as assigned in your design. to ensure that dclk and data[0] are not left floating at the end of the configuration, the max ii device must drive them either high or low, whichever is convenient on your board. the data[0] pin is available as a user i/ o pin after configuration. when you choose the fpp scheme in the quartus ii software, the data[0] pin is tri-stated by default in user mode and must be driven by the external host device. to change this default option in the quartus ii software, select the dual-purpose pins tab of the device and pin options dialog box. the dclk speed must be below the specified system frequency to ensure correct configuration. no maximum dclk period exists, which means you can pause configuration by halting dclk for an indefinite amount of time. if a configuration error occurs during configuration and the auto-restart configuration after error option is turned on, the cy clone iii device family releases nstatus after a reset time-out pe riod (a maximum of 230 ? s). after nstatus is released and pulled high by a pull-up resistor, the ex ternal host device can try to reconfigure the target device without needing to pulse nconfig low. if this option is turned off, the external host device must generate a low-to-high transition (with a low pulse of at least 500 ns) on nconfig to restart the configuration process. the external host device can also monitor the conf_done and init_done pins to ensure successful configuration. the conf_done pin must be monitored by the external device to detect errors and to determine when programming is complete. if all configuration data is sent but conf_done or init_done has not gone high, the external device must reconfigure the target device.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?45 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 figure 9?21 shows how to configure multiple devices using a max ii device. this circuit is similar to the fpp configuratio n circuit for a single device, except the cyclone iii device family is cascaded for a multi-device configuration. in a multi-device fpp configuration, the nce pin of the first device is connected to gnd while its nceo pin is connected to the nce pin of the next device in the chain. the nce input of the last device comes from the previous device while its nceo pin is left floating. after the first device completes co nfiguration in a multi-device configuration chain, its nceo pin drives low to activate the nce pin of the second device, which prompts the second device to begin config uration. the second device in the chain begins configuration in one clock cycle; theref ore, the transfer of data destinations is transparent to the max ii device. all other configuration pins ( nconfig , nstatus , dclk , data[7..0] , and conf_done ) are connected to every device in the chain. the configuration signals may require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered. all devices initialize and enter user mode at the same time because all device conf_done pins are tied together. all nstatus and conf_done pins are tied together and if any device detects an error, configuration stops for the entire chain and the entire chain must be reconfigured. for example, if the first de vice flags an error on nstatus , it resets the chain by pulling its nstatus pin low. this behavior is similar to a single device detecting an error. figure 9?21. multi-device fpp configuration using an external host notes to figure 9?21 : (1) the pull-up resistor must be connect ed to a supply that provides an acceptabl e input signal for all devices in the chain. v cc must be high enough to meet the v ih specification of the i/o on the device and the external host. (2) connect the pull-up resistor to the v ccio supply voltage of the i/o bank in which the nce pin resides. (3) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (4) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or ground. (5) all i/o inputs must maintain a maximum ac voltage of 4.1 v. data[7..0] and dclk must fit the m aximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . external host (max ii de v ice or microprocessor) memory addr cyclone iii de v ice family 1 nstatus conf_done 10 k ? nce nceo data[7..0] gnd v ccio (1) v ccio (1) 10 k ? msel[3..0] data[7..0] (5) nconfig dclk (5) nstatus conf_done nce nceo msel[3..0] n.c. (3 ) data[7..0] (5) nconfig dclk (5) v ccio (2) 10 k ? cyclone iii de v ice family 2 (4) (4) buffers (5)
9?46 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 if a system has multiple devices that contain the same configuration data, tie all device nce inputs to gnd and leave nceo pins floating. all ot her configuration pins ( nconfig , nstatus , dclk , data[7..0] , and conf_done ) are connected to every device in the chain. configuration signals can require buffering to ensure signal integrity and prevent clock skew problems. ensure that the dclk and data lines are buffered. devices must be of the same density and package. all devices start and complete configuration at the same time. figure 9?22 shows multi-device fpp configurati on when both cyclone iii device family is receiving the same configuration data. you can use a single configuration chain to configure cyclone iii device family with other altera devices that support the fpp co nfiguration. to ensure that all devices in the chain complete configurat ion at the same time or that an error flagged by one device starts reconfiguration in all devices, tie all the device conf_done and nstatus pins together. f for more information about configuring multiple altera devices in the same configuration chain, refer to the configuring mixed altera fpga chains chapter in volume 2 of the configuration handbook . figure 9?22. multi-device fpp configuration using an external host when both devices receive the same data notes to figure 9?22 : (1) the pull-up resistor must be connect ed to a supply that provides an acceptabl e input signal for all devices in the chain. v cc must be high enough to meet the v ih specification of the i/o on the device and the external host. (2) the nceo pins of both devices are left unconnec ted or used as user i/o pins when configuring the same configuration data into multiple devices. (3) the msel pin settings vary for different configuration voltage st andards and por time. to connect msel[3..0] , refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (4) all i/o inputs must maintain a maximum ac voltage of 4.1 v. data[7..0] and dclk must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . external host (max ii de v ice or microprocessor) memory addr cyclone iii de v ice family 1 nstatus conf_done nce nceo data[7..0] gnd v ccio (1) v ccio (1) msel[3..0] data[7..0] (4) nconfig dclk (4) nstatus conf_done nce nceo msel[3..0] n.c. (2 ) data[7..0] (4) nconfig dclk (4) cyclone iii de v ice family 2 (3) (3) gnd n.c. (2) buffers (4) 10 k ? 10 k ?
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?47 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 fpp configuration timing figure 9?23 shows the timing waveform for fpp configuration when using an external host. table 9?14 lists the fpp configuration timing para meters for cyclone iii device family. figure 9?23. fpp configuration timing waveform (1) notes to figure 9?23 : (1) the beginning of this waveform shows th e device in user mode. in user mode, nconfig , nstatus , and conf_done are at logic-high levels. when nconfig is pulled low, a reconfiguration cycle begins. (2) after power-up, the cycl one iii device family holds nstatus low during por delay. (3) after power-up, before and during configuration, conf_done is low. (4) do not leave dclk floating after configuration. it must be driven high or low, whichever is more convenient. (5) data[7..0] is available as user i/o pin after configuration; th e state of the pin depends on the dual-purpose pin settings. nco n fig nstatus (2) co n f_do n e (3) dclk data[7..0] user i/o i n it_do n e byte 0 byte 1 byte 2 byte 3 byte n-1 t cd2um t cf2st1 t cf2cd t cfg t ch t cl t dh t dsu t cf2ck t status t clk t cf2st0 t st2ck user mode (5) tri-stated w ith internal p u ll- u p resistor (4) user mode byte n table 9?14. fpp timing parameters for cyclone iii device family (part 1 of 2) symbol parameter minimum maximum unit t cf2cd nconfig low to conf_done low ? 500 ns t cf2st0 nconfig low to nstatus low ? 500 ns t cfg nconfig low pulse width 500 ? ns t status nstatus low pulse width 45 230 (1) ? s t cf2st1 nconfig high to nstatus high ? 230 (1) ? s t cf2ck nconfig high to first rising edge on dclk 230 (1) ? ? s t st2ck nstatus high to first rising edge of dclk 2? ? s t dsu data setup time before rising edge on dclk 5?ns t dh data hold time after rising edge on dclk 0?ns t ch dclk high time 3.2 ? ns t cl dclk low time 3.2 ? ns t clk dclk period 7.5 ? ns f max dclk frequency ? 100 (3) mhz t cd2um conf_done high to user mode (2) 300 650 ? s
9?48 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 jtag configuration jtag has developed a specification for boundary-scan testing. this boundary-scan test (bst) architecture offers the capability to efficiently test components on pcbs with tight lead spacing. the bst architecture can test pin connections without using physical test probes and capt ure functional data while a device is operating normally. you can also use the jtag circuitry to shif t configuration data into the device. the quartus ii software automatically generates .sof s that are used for jtag configuration with a download cable in the quartus ii software programmer. f for more information about jtag boundary-scan testing, refer to the ieee 1149.1 (jtag) boundary-scan test ing for cyclone iii devices chapter. for the cyclone iii device, jtag instructions have precedence over any other device configuration modes. therefore, jtag conf iguration can take place without waiting for other configuration modes to complete. for example, if you attempt jtag configuration of a cyclone iii device during ps configuration, ps configuration terminates and jtag configuration begins. if the cyclone iii device msel pins are set to as mode, the cyclone iii device does not output a dclk signal when jtag configuration takes place. 1 for the cyclone iii ls device, jtag programming is disabled if the device was already configured using the ps or as mo de. after por, the cy clone iii ls device allows only mandatory jtag 1149.1 instructions ( bypass , sample/reload , extest , and factory ). for more information, refer to ?jtag instructions? on page 9?60 . the four required pins for a device operating in jtag mode are tdi , tdo , tms , and tck . the tck pin has an internal weak pull-down resistor while the tdi and tms pins have weak internal pull-up resistors (typically 25 k ? ). the tdo output pin is powered by v ccio in i/o bank 1. all the jtag input pins are powered by the v ccio pin. all the jtag pins support only lvttl i/o standard. all user i/o pins are tri-stated during jtag configuration. table 9?15 lists the function of each jtag pin. 1 the tdo output is powered by the v ccio power supply of i/o bank 1. t cd2cu conf_done high to clkusr enabled 4 maximum dclk period ? ? t cd2umc conf_done high to user mode with clkusr option on t cd2cu + (initialization clock cycles clkusr period) (4) ?? notes to table 9?14 : (1) this value is applicable if users do not delay configuration by extending the nconfig or nstatus low pulse width. (2) the minimum and maximum numbers apply only if the internal o scillator is chosen as the clock source for starting up the devi ce. (3) cyclone iii ep3c5, ep3c10, ep3c16 , ep3c25, and ep3c40 devices support a dclk f max of 133 mhz. cyclone iii ep 3c55, ep3c80, ep3c120 and all the cyclone iii ls devices support a dclk f max of 100 mhz. (4) for more information about the initialization clock cycles required in cyclone iii device family, refer to table 9?5 on page 9?10 . table 9?14. fpp timing parameters for cyclone iii device family (part 2 of 2) symbol parameter minimum maximum unit
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?49 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 f for more information about how to connect a jtag chain with multiple voltages across the devices in the chain, refer to the ieee 1149.1 (jtag) boundary-scan testing for cyclone iii devices chapter. you can download data to the device on the pcb through the usb-blaster, masterblaster, byteblaster ii, byteblastermv download cable, and ethernet-blaster communications cable during jtag configur ation. configuring devices using a cable is similar to programming devices in-system. figure 9?24 and figure 9?25 show the jtag configuration of a sing le cyclone iii device family. for device v ccio of 2.5, 3.0, and 3.3 v, refer to figure 9?24 . all i/o inputs must maintain a maximum ac voltage of 4.1 v. beca use jtag pins do not have the internal pci clamping diodes to prevent voltage overshoot when using v ccio of 2.5, 3.0, and 3.3 v, you must power up the v cc of the download cable with a 2.5-v supply from v cca , and you must pull tck to ground. table 9?15. dedicated jtag pins pin name pin type description tdi test data input serial input pin for instructions as well as test and programming data. data shifts in on the rising edge of tck . the tdi pin is powered by the v ccio supply. if the jtag interface is not required on the board, the jtag circuitry is disabled by connecting this pin to v cc . tdo test data output serial data output pin for instructions as well as test and programming data. data shifts out on the falling edge of tck . the pin is tri-stated if data is not being shifted out of the device. the tdo pin is powered by v ccio in i/o bank 1. if the jtag interface is not required on the board, the jtag circuitry is disabled by leaving this pin unconnected. tms test mode select input pin that provides the control signal to determine the transitions of the tap controller state machine. transitions in the state machine occur on the rising edge of tck . therefore, tms must be set up before the rising edge of tck . tms is evaluated on the rising edge of tck . the tms pin is powered by the v ccio supply. if the jtag interface is not required on the board, the jtag circuitry is disabled by connecting this pin to v cc . tck test clock input clock input to the bst circuitry. some operations occur at the rising edge while others occur at the falling edge. the tck pin is powered by the v ccio supply. if the jtag interface is not required on the board, the jtag circuitry is disabled by connecting this pin to gnd.
9?50 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 for device v ccio of 1.2, 1.5, and 1.8 v, refer to figure 9?25 . you can power up the v cc of the download cabled with the supply from v ccio . figure 9?24. jtag configuration of a single device using a download cable (2.5, 3.0, and 3.3-v v ccio powering the jtag pins) notes to figure 9?24 : (1) the resistor value can vary from 1 k ? to 10 k ? . perform signal integrity analysis to select the resistor value for your setup. (2) connect these pull-u p resistors to the v ccio supply of the bank in which the pin resides. (3) the nce pin must be connected to gnd or driven low for successful jtag configuration. (4) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (5) connect the nconfig and msel[3..0] pins to support a non-jtag configur ation scheme. if you only use a jtag configuration, connect the nconfig pin to logic high and the msel[3..0] pins to ground. in addition, pull dclk and data[0] either high or low, whichever is convenient on your board. (6) power up the v cc of the byteblaster ii, usb-blaster, byteblastermv, or ethernet blaster cable with a 2.5- v supply from v cca . third-party programmers must switch to 2.5 v. pin 4 of the header is a v cc power supply for the masterblaster cable. the masterblaster cab le can receive power from either 5.0- or 3.3-v circuit boards, dc power supply, or 5.0 v from the usb cable . for this value, refer to the masterblaster serial/usb co mmunications cable user guide . (7) pin 6 of the header is a v io reference voltage for the ma sterblaster output driver. v io must match the device's v cca . for this value, refer to the masterblaster serial/usb comm unications cable user guide . in usb-blaster, byteblaster ii, byteblastermv, and ethernet blaster, this pin is a no connect. nce (3) msel[3..0] nco n fig co n f_do n e v cca v cca (6) g n d v ccio (2) g n d v ccio (2) (5) v cca 10 k 10 k (1) (1) nstatus pi n 1 dow n load cable 10-pi n male heade r (top view) g n d tck tdo tms tdi 1 k g n d v io (7) cyclone iii de v ice family nceo n .c. (4) dclk data[0] (5) (5) (5)
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?51 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 to configure a single device in a jtag ch ain, the programming software places all other devices in bypass mode. in bypass mode, devices pass programming data from the tdi pin to the tdo pin through a single bypass re gister without being affected internally. this scheme enables the progra mming software to program or verify the target device. configuration data driven into the device appears on the tdo pin one clock cycle later. the quartus ii software verifies successfu l jtag configuration upon completion. at the end of configuration, the software checks the state of conf_done through the jtag port. when the quartus ii software generates a .jam for a multi-device chain, it contains instructions to have all devices in the chain initialize at the same time. if conf_done is not high, the quartus ii software in dicates that config uration has failed. if conf_done is high, the software indicates that configuration was successful. after the configuration bitstream is serially sent using the jtag tdi port, the tck port clocks an additional clock cycle to perform device initialization. figure 9?25. jtag configuration of a single device using a download cable (1.5-v or 1.8-v v ccio powering the jtag pins) notes to figure 9?25 : (1) the resistor value can vary from 1 k ? to 10 k ? . perform signal integrity analysis to select the resistor value for your setup. (2) connect these pull-u p resistors to the v ccio supply of the bank in which the pin resides. (3) the nce must be connected to gnd or driven low for successful jtag configuration. (4) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (5) connect the nconfig and msel[3..0] pins to support a non-jtag config uration scheme. if you only use a jtag configuration, connect the nconfig pin to logic-high and the msel[3..0] pins to ground. in addition, pull dclk and data[0] either high or low, whichever is convenient on your board. (6) power up the v cc of the byteblaster ii, usb-blaster, or ethernet blaster cable with supply from v ccio . the byteblaster ii, usb-blaster, and ethernet blaster cables do not suppor t a target supply voltage of 1.2 v. for the target supply voltage value, refer to the byteblaster ii download cable user guide , usb-blaster download cable user guide and ethernet blaster communications cable user guide . (7) in the usb-blaster and byteblaster ii cables, this pin is connected to nce when it is used for as programming; otherwise it is a no connect. nce (3) msel[3..0] nco n fig co n f_do n e v ccio v ccio (6) g n d v ccio (2) g n d v ccio (2) (5) v ccio 10 k 10 k nstatus pi n 1 g n d tck tdo tms tdi 1 k g n d v io (7) cyclone iii de v ice family nceo n .c. (4) dclk data[0] (5) (5) (5) dow n load cable 10-pi n male heade r (top view) (1) (1)
9?52 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 cyclone iii device family ha s dedicated jtag pins that function as jtag pins. you can perform jtag testing on cyclone iii device family before, during, and after configuration. cyclone iii device family supports the bypass , idcode , and sample instructions during configuration without interrupting configuration. all other jtag instructions can only be issued by first interrupting configuration and reprogramming i/o pins using the active_disengage and config_io instructions. the config_io instruction allows i/o buffers to be configured using the jtag port and when issued after the active_disengage instruction interrupts configuration. this instruction allows you to perform board-level testing prior to configuring the cyclone iii device family or waiting fo r a configuration device to complete configuration. prior to issuing the config_io instruction, you must issue the active_disengage instruction. this is because in cyclone iii device family, the config_io instruction does not hold nstatus low until reconfiguration, so you must disengage the active configuration mode co ntroller when active configuration is interrupted. the active_disengage instruction places the ac tive configuration mode controllers in an idle state prior to jtag programming. additionally, the active_engage instruction allows you to re-engage a disengaged active configuration mode controller. 1 you must follow a specific flow when executing the config_io , active_disengage , and active_engage jtag instructions in cyclon e iii device family. for more information about the instruction flow, refer to ?jtag instructions? on page 9?60 . the chip-wide reset ( dev_clrn ) and chip-wide output enable ( dev_oe ) pins on cyclone iii device family do not affe ct jtag boundary-scan or programming operations. toggling these pins does not affe ct jtag operations (other than the usual boundary-scan operation). when designing a board for jtag configuration, consider the dedicated configuration pins. table 9?16 lists how these pins must be co nnected during jtag configuration. table 9?16. dedicated configuration pin connections during jtag configuration signal description nce on all cyclone iii device family in the chain, nce must be driven low by connecting it to ground, pulling it low using a resistor or driving it by some control circuitry. for devices that are also in multi-device as, ap, ps, or fpp configuration chains, the nce pins must be connected to gnd during jtag configuration or jtag configured in the same order as the configuration chain. nceo on all cyclone iii device family in the chain, nceo is left floating or connected to the nce of the next device. msel[3..0] these pins must not be left floating. these pins support whichever non-jtag configuration that is used in production. if you only use jtag configuration, tie these pins to gnd. nconfig driven high by connecting to the v ccio supply of the bank in which the pin resides and pulling up using a resistor or driven high by some control circuitry. nstatus pull to the v ccio supply of the bank in which the pin resides using a 10-k ? resistor. when configuring multiple devices in the same jtag chain, each nstatus pin must be pulled up to the v ccio individually. conf_done pull to the v ccio supply of the bank in which the pin resides using a 10-k ? resistor. when configuring multiple devices in the same jtag chain, each conf_done pin must be pulled up to the v ccio supply of the bank in which the pin resides individually. conf_done going high at the end of jtag configuration indicates successful configuration. dclk must not be left floating. drive low or high, whichever is more convenient on your board.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?53 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 when programming a jtag device chain, one jtag-compatible header is connected to several devices. the number of devices in the jtag chain is limited only by the drive capability of the download cable. when four or more devices are connected in a jtag chain, altera recommends buffering the tck , tdi , and tms pins with an on-board buffer. jtag-chain device programming is ideal when the system contains multiple devices, or when testing your system using jtag bst circuitry. figure 9?26 and figure 9?27 show a multi-device jtag configuration. for the device v ccio of 2.5, 3.0, and 3.3 v, refer to figure 9?26 . all i/o inputs must maintain a maximum ac voltage of 4.1 v. be cause jtag pins do not have the internal pci clamping diodes to prevent voltage overshoot when using v ccio of 2.5, 3.0, and 3.3 v, you must power up the v cc of the download cable with a 2.5-v supply from v cca . for device v ccio of 1.2, 1.5, and 1.8 v, refer to figure 9?27 . you can power up the v cc of the download cable with the supply from v ccio . figure 9?26. jtag configuration of multiple devices using a download cable (2.5, 3.0, and 3.3-v v ccio powering the jtag pins) notes to figure 9?26 : (1) connect these pull- up resistors to the v ccio supply of the bank in which the pin resides. (2) connect the nconfig and msel[3..0] pins to support a non-jtag configuration scheme. if you only use a jtag c onfiguration, connect the nconfig pin to logic high and the msel[3..0] pins to ground. in addition, pull dclk and data[0] either high or low, whichever is convenient on your board. (3) pin 6 of the header is a v io reference voltage for the ma sterblaster output driver. v io must match the v cca of the device. for this value, refer to the masterblaster serial/usb comm unications cable user guide . in the byteblastermv cable , this pin is a no connect . in the usb-blaster and byteblaster ii cables, this pin is co nnected to nce when it is used for as programming, otherwise it is a no connect. (4) the nce pin must be connected to ground or driven low for successful jtag configuration. (5) power up the v cc of the byteblaster ii, usb-blaster, or bytebl astermv cable with a 2. 5- v supply from v cca . third-party programmers must switch to 2.5 v. pin 4 of the header is a v cc power supply for the masterblaster cable. the masterblaster cable ca n receive power from either 5.0- or 3.3-v circuit boards, dc power supply, or 5.0 v from the usb cable. for this value, refer to the masterblaster serial/usb co mmunications user guide . (6) the resistor value can vary from 1 k ? to 10 k ? . perform signal integrity analysis to select the resistor value for your setup. tms tck dow n load cable 10-pi n male heade r tdi tdo v cca v cca v cca (5) pi n 1 nst a tus nco n fig nce (4) v ccio co n f_do n e v ccio (2) (2) v io (3) (1) (1) (2) data[0] dclk (2) msel[3..0] nceo (2) tms tck tdi tdo nst a tus nco n fig nce (4) v ccio co n f_do n e v ccio (2) (2) (1) (2) data[0] dclk (2) msel[3..0] nceo (2) (1) tms tck tdi tdo nst a tus nco n fig nce (4) v ccio co n f_do n e v ccio (2) (2) (2) data[0] dclk (2) msel[3..0] nceo (2) (1) (1) 10 k 10 k 10 k (6) (6) 1 k 10 k 10 k 10 k cyclone iii device family cyclone iii device family cyclone iii device family
9?54 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 1 all i/o inputs must maintain a maximum ac voltage of 4.1 v. if a non-cyclone iii device family is cascaded in the jtag-chain, tdo of the non-cyclone iii device family driving into tdi of the cyclone iii device fami ly must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . the nce pin must be connected to gnd or driv en low during jtag configuration. in multi-device as, ap, ps, and fpp configuration chains, the nce pin of the first device is connected to gnd while its nceo pin is connected to the nce pin of the next device in the chain. the inputs of the nce pin of the last device come from the previous device while its nceo pin is left floating. in addition, the conf_done and nstatus signals are shared in multi-device as, ap, ps, and fpp configuration chains to ensure that the devices enter user mode at the same time after configuration is complete. when the conf_done and nstatus signals are shared among all the devices, every device must be configured when you perform jtag configuration. if you only use jtag configuration, altera recommends that you connect the circuitry as shown in figure 9?26 or figure 9?27 , in which each of the conf_done and nstatus signals are isolated so that each devi ce can enter user mode individually. figure 9?27. jtag configuration of multiple devices using a download cable (1.2, 1.5, and 1.8-v v ccio powering the jtag pins) notes to figure 9?27 : (1) connect these pull- up resistors to the v ccio supply of the bank in which the pin resides. (2) connect the nconfig and msel[3..0] pins to support a non-jtag configuration scheme. if you only use a jtag configuration, connect the nconfig pin to logic high and the msel[3..0] pins to ground. in addition, pull dclk and data[0] either high or low, whichever is convenient on your board. (3) in the usb-blaster and byteblaster ii cable, this pin is connected to nce when it is used for as programmi ng, otherwise it is a no connect. (4) the nce pin must be connected to ground or driven low for successful jtag configuration. (5) power up the v cc of the byteblaster ii or usb-bl aster cable with supply from v ccio . the byteblaster ii and usb-blaster cables do not support a target supply voltage of 1.2 v. for the ta rget supply voltage value, refer to the byteblaster ii download cable user guide and the usb-blaster download cable user guide . (6) the resistor value can vary from 1 k ? to 10 k ? . perform signal integrity analysis to select the resistor value for your setup. tms tck dow n load cable 10-pi n male heade r tdi tdo v ccio (1) v ccio (1) v ccio (5) pi n 1 nst a tus nco n fig nce (4) v ccio co n f_do n e v ccio (2) (2) v io (3) (6) (6) (1) (1) (2) data[0] dclk (2) msel[3..0] nceo (2) tms tck tdi tdo nst a tus nco n fig nce (4) v ccio co n f_do n e v ccio (2) (2) (1) (2) data[0] dclk (2) msel[3..0] nceo (2) (1) tms tck tdi tdo nst a tus nco n fig nce (4) v ccio co n f_do n e v ccio (2) (2) (2) data[0] dclk (2) msel[3..0] nceo (2) (1) (1) 10 k 10 k 10 k 1 k 10 k 10 k 10 k cyclone iii device family cyclone iii device family cyclone iii device family
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?55 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 after the first device completes configuration in a multi-device configuration chain, its nceo pin drives low to activate the nce pin of the second device, which prompts the second device to begin configuration. theref ore, if these devices are also in a jtag chain, ensure that the nce pins are connected to gnd du ring jtag configuration or that the devices are jtag configured in the same order as the configuration chain. as long as the devices are jtag configured in the same order as the multi-device configuration chain, the nceo pin of the previous device drives the nce pin of the next device low when it has successfully been jt ag configured. you ca n place other altera devices that have jtag support in the sa me jtag chain for device programming and configuration. 1 jtag configuration al lows an unlimited number of cy clone iii device family to be cascaded in a jtag chain. f for more information about configuring multiple altera devices in the same configuration chain, refer to the configuring mixed altera fpga chains chapter in volume 2 of the configuration handbook . figure 9?28 shows jtag configuration of a cy clone iii device family with a microprocessor. configuring cyclone iii de vice family with jam stapl jam stapl, jedec standard jesd-71, is a standard file format for in-system programmability (isp) purposes. jam stap l supports programming or configuration of programmable devices and testing of el ectronic systems, using the ieee 1149.1 jtag interface. jam stapl is a freely li censed open standard. the jam player provides an interface for manipulating the ieee std. 1149.1 jtag tap state machine. f for more information about jtag and jam stapl in embedded environments, refer to an 425: using command-line jam stapl solution for device programming . to download the jam player, visit the altera website ( www.altera.com) . figure 9?28. jtag configuration of a single device using a microprocessor notes to figure 9?28 : (1) the pull-up resistor must be connect ed to a supply that provides an acceptabl e input signal for all devices in the chain. (2) connect the nconfig and msel[3..0] pins to support a non-jtag config uration scheme. if you only use a jtag configuration, connect the nconfig pin to logic high and the msel[3..0] pins to ground. in addition, pull dclk and data[0] either high or low, whichever is convenient on your board. (3) the nce pin must be connected to gnd or dri ven low for successful jtag configuration. (4) all i/o inputs must main tain a maximum ac voltage of 4.1 v. signals driving into tdi , tms , and tck must fit the maximum overshoot equation outlined in ?configuration and jtag pin i/o requirements? on page 9?7 . nco n fig data[0] dclk tdi (4) tck (4) tms (4) microprocessor memory addr tdo cyclone iii de v ice family nstatus co n f_do n e v ccio v ccio 10 k 10 k (2) (2) n .c. (2) (2) (1) (1) (3) msel[3..0] nce nceo data
9?56 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 configuring cyclone iii device family with the jrunner software driver the jrunner software driver allows you to configure cyclone iii device family through the byteblaster ii or byteblaste rmv cables in jtag mode. the supported programming input file is in .rbf format. the jrunner software driver also requires a chain description file ( .cdf ) generated by the quartus ii software. the jrunner software driver is targeted for embedded jtag configuration. the source code is developed for the windows nt operating syst em (os). you can customize the code to make it run on your embedded platform. 1 the .rbf used by the jrunner software driver cannot be a compressed .rbf because the jrunner software driver uses jtag-bas ed configuration. during jtag-based configuration, the real-time decompression feature is not available. f for more information about the jrunner software driver, refer to an 414: jrunner software driver: an embedded solution for pld jtag configuration and the source files on the altera website at ( www.altera.com) . combining jtag and as configuration schemes you can combine the as configuration sche me with the jtag-based configuration ( figure 9?29 ). this setup uses two 10-pin download cable headers on the board. one download cable is used in jtag mode to configure the cyclon e iii device family directly using the jtag interface. the other download cable is used in as mode to program the serial configuration device in-system using the as programming interface. the msel[3..0] pins must be set to select as configuration mode ( table 9?7 on page 9?11 ). if you try configuring the device using both schemes simultaneously, the jtag configuration takes precedence and the as configuration terminates.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?57 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 figure 9?29. combining jtag and as configuration schemes notes to figure 9?29 : (1) connect these pull-u p resistors to the v ccio supply of the bank in which the pin resides. (2) power up the v cc of the byteblaster ii, usb-blaster, or ethe rnet blaster cable with the 3.3-v supply. (3) pin 6 of the header is a v io reference voltage for the ma sterblaster output driver. v io must match the v cca of the device. for this value, refer to the masterblaster serial/usb commun ications cable user guide . in byteblastermv, this pin is a no connect. in usb-blaster an d byteblaster ii, this pin is connected to nce when it is used for as programming, otherwise it is a no connect. (4) the msel pin settings vary for different config uration voltage standards and por time. to connect msel[3..0] for as configuration schemes, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (5) these are dual-pur pose i/o pins. this ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (6) power up v cc of the byteblaster ii, usb-blaster, byteblastermv, or ethernet blaster cable wi th a 2.5- v supply from v cca . third-party programmers must switch to 2.5 v. pin 4 of the header is a v cc power supply for the masterblaster cable. the masterblaster cable can receive power from either 5.0- or 3.3-v circuit boards , dc power supply, or 5.0 v from the usb cable. for th is value, refer to the masterblaster serial/usb commun ications cable user guide . (7) you must place the diodes and capacitors as close as possible to the cyclone iii device family. for effective voltage clamping, altera recommends using the schottky diode, which has a relatively lo wer forward diode voltage (vf) than the switching and zener diodes. for more information abou t the interface guidelines usin g schottky diodes, refer to an 523: cyclone iii configuration inte rface guidelines with epcs devices. (8) the resistor value can vary from 1 k ? to 10 k ? . perform signal integrity analysis to select the resistor value for your setup. data dclk ncs asdi serial config u ration de v ice cyclone iii de v ice family 10 k 10 k v ccio v ccio g n d nceo nce nstatus co n f_do n e 10 k v ccio nco n fig msel [3..0] (1) (1) (1) (4) 10k v cca n .c. v cca tck tdo tms tdi g n d 1 k v cca (6) v io (3) 3.3 v (2) pin 1 pin 1 download cable (jtag mode) 10-pin male header (top view) download cable (as mode) 10-pin male header 3.3 v 10 pf g n d g n d 10 pf 10 pf g n d 10 pf g n d (7) (7) ( 8 ) ( 8 ) 3.3 v 3.3 v 3.3 v data[0] dclk ncso (5) asdo (5)
9?58 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 programming serial configuration devices in-system using the jtag interface cyclone iii device family in a single-device or in a multiple-device chain supports in-system programming of a serial configurati on device with the jtag interface using the sfl design. the intelligent host or down load cable of the board can use the four jtag pins on the cyclone iii device family to program the serial configuration device in system, even if the host or download cable cannot access the configuration pins ( dclk , data , asdi , and ncs pins). the sfl design is a jtag-based in-system programming solution for altera serial configuration devices. the sfl is a bridge design for the cyclone iii device family that uses its jtag interface to access th e epcs jtag indirect configuration device programming ( .jic ) file and then uses the as interface to program the epcs device. both the jtag interface and as interface are bridged together inside the sfl design. in a multiple device chain, you must only configure the master device that controls the serial configuration device. when using this feature, the slave devices in the multiple device chain which are configured by the serial configuration device do not need to be configured. to use th is feature successfully, set the msel[3..0] pins of the master device to select th e as configuration scheme ( table 9?7 on page 9?11 ). the serial configuration device in-system pr ogramming through the cyclone iii device family jtag interface has three stages, which are described in the following sections: ?loading the sfl design? on page 9?58 ?isp of the configuration device? on page 9?59 ?reconfiguration? on page 9?60 loading the sfl design the sfl design is a design inside the cyclone iii device family that bridges the jtag interface and the as interface with glue logic. the intelligent host uses the jtag interface to configure th e master device with a sfl design. the sfl design allows the master device to control the access of four serial configuration device pins, al so known as the active seri al memory interface (asmi) pins, through the jtag interface. the asmi pins are serial clock input ( dclk ), serial data output ( data ), as data input ( asdi ), and active-low chip select ( ncs ) pins.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?59 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 if you configure a master device with a sf l design, the master device enters user mode even though the slave devices in the multiple device chain are not being configured. the master device enters user mode with a sfl design even though the conf_done signal is externally held low by the other slave devices in chain. figure 9?30 shows the jtag configuration of a si ngle cyclone iii device family with a sfl design. isp of the configuration device in the second stage, the sfl design in the master device allows you to write the configuration data for the device chain into the serial configuration device with the cyclone iii device family jtag interface. the jtag interface sends the programming data for the serial configuration device to the cyclone iii device family first. the cyclone iii device family then uses the as mi pins to send the data to the serial configuration device. figure 9?30. programming serial configuration devices in-system using the jtag interface notes to figure 9?30 : (1) connect the pull-up resistors to the v ccio supply of the bank in which the pin resides. (2) the msel pin settings vary for different config uration voltage standards and por time. to connect msel[3..0] for as configuration schemes, refer to table 9?7 on page 9?11 . connect the msel pins directly to v cca or gnd. (3) pin 6 of the header is a v io reference voltage for the ma sterblaster output driver. v io must match the v cca of the device. for this value, refer to the masterblaster serial/usb commun ications cable user guide . in byteblastermv, this pin is a no connect. in usb-bl aster, byteblaster ii, and ethernet blaster, this pin is connected to nce when it is used for as programming, otherwise it is a no connect. (4) the nce pin must be connected to gnd or dri ven low for successful jtag configuration. (5) the nceo pin is left unconnected or used as a us er i/o pin when it does not feed the nce pin of another device. (6) power up the v cc of the byteblaster ii, usb-blaster, byteblastermv, or ethernet blaster cable with a 2.5-v supply from v cca . third-party programmers must switch to 2.5 v. pin 4 of the header is a v cc power supply for the masterblaster cable. the masterblaster cable can receive power from either 5.0- or 3.3-v circuit boards, dc power supply, or 5.0 v from the usb cable. for th is value, refer to the masterblaster serial/usb commun ications cable user guide . (7) connect the series resistor at the near end of the serial configuration device. (8) these are dual-purpose i/o pins. the ncso pin functions as the flash_nce pin in ap mode. the asdo pin functions as the data[1] pin in other ap and fpp modes. (9) the resistor value can vary from 1 k ? to 10 k ? . perform signal integrity analysis to select the resistor value for your setup. nce (4) msel[3..0] nco n fig co n f_do n e v cca v cca (6) g n d v ccio (1) g n d v ccio (1) (2) v cca 10 k 10 k (9) (9) nstatus pi n 1 dow n load cable 10-pi n male heade r (top view) g n d tck tdo tms tdi 1 k g n d v io (3) cyclone iii de v ice family nceo n .c. (5) dclk data[0] ncso (8) asdo (8) dclk data ncs asdi serial config u ration de v ice v ccio (1) 10 k serial flash loader 25 (7)
9?60 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 reconfiguration after the configuration data is successfully written into the serial configuration device, the cyclone iii device family does not reconfigure by itself. the intelligent host issues the pulse_nconfig jtag instruction to initialize the reconfiguration process. during reconfiguration, the master device is reset and the sfl design no longer exists in the cyclone iii device fa mily and the serial configuration device configures all the devices in the chain with your user design. f for more information about sfl, refer to an 370: using the serial flashloader with quartus ii software. jtag instructions this section describes the instructions that are necessary for jtag configuration for the cyclone iii device family. table 9?17 lists the supported jtag instructions. f for more information about the jtag binary instruction code, refer to the ieee 1149.1 (jtag) boundary-scan test ing for cyclone iii devices chapter. for cyclone iii ls devices, the device can only allow mandatory jtag 1149.1 instructions after por. these instructions are bypass , sample/preload , extest and factory . to enable the access of other jtag instructions, issue the factory instruction. the factory instruction puts the device in a state in which it is ready for in-house testing and board-level testing and it must be executed before configuration starts. when this instruction is executed, the cram bits content and volatile key are cleared and the device is reset. table 9?17. jtag instructions jtag instruction cyclone iii device cyclone iii ls device config_io vv active_disengage vv active_engage vv en_active_clk v ? dis_active_clk v ? apfc_boot_addr v ? factory (1) ? v key_prog_vol (2) ? v key_clr_vreg (2) ? v notes to table 9?17 : (1) in cyclone iii ls devices, the config_io , active_disengage , pulse_nconfig, and program instructions are supported, provided that the factory instruction is executed. it is not necessary to execute the factory instruction prior to the jtag configuration in cyclone iii devices because th is instruction is used for cyclone iii ls devices only. (2) use the key_prog_vol and key_clr_vreg instructions for the design security feature. for more information, refer to ?design security? on page 9?70 .
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?61 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 i/o reconfiguration use the config_io instruction to reconfigure the i/o configuration shift register (iocsr) chain. this instruction allows you to perform board-level testing prior to configuring the cyclone iii device family or waiting for a configuration device to complete configuratio n. after the configuration is interrupted and jtag testing is complete, the part must be reconfigured using the pulse_nconfig jtag instruction or by pulsing the nconfig pin low. you can issue the config_io instruction any time during user mode. the config_io instruction cannot be issued when nconfig pin is asserted low (during power up) or immediately after issuing a jtag instruction that triggers reconfiguration. for more information about the wait-time for issuing the config_io instruction, refer to table 9?18 . when using config_io instruction, you must meet th e following timing restrictions: config_io instruction cannot be issued during the nconfig pin low observe 230 ? s minimum wait time after any of the following conditions are met: nconfig pin goes high issuing the pulse_nconfig instruction issuing the active_engage instruction, before issuing the config_io instruction wait 230 ? s after power up with nconfig pin high before issuing the config_io instruction (or wait for the nstatus pin to go high) use the active_disengage instruction with config_io instruction to interrupt configuration. table 9?19 lists the sequence of instructions to use for various config_io usage scenarios. table 9?18. wait time for issuing the config_io instruction wait time time wait time after the nconfig pin is released 230 ? s wait time after pulse_nconfig or active_engage is issued 230 ? s table 9?19. jtag config_io (without jtag_program) instruction flows (1) (part 1 of 2) jtag instruction configuration scheme and current state of the cyclone iii device family prior to user mode (interrupting configuration) user mode power up ps fpp as ap (4) ps fpp as ap (4) ps fpp as ap (4) factory na na na na na na na na r r r na active_disengage oo o o o o o o???? config_io r r r r r r r r na na na na jtag boundary scan instructions (no jtag_program ) oo o o o o o o????
9?62 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 the config_io instruction does not hold the nstatus pin low until reconfiguration. you must disengage the active configuratio n controllers (as and ap) by issuing the active_disengage and active_engage instructions when the active configuration is interrupted. you must issue the active_disengage instruction alone or prior to the config_io instruction if the jtag_program instruction is to be issued later ( table 9?20 ). this puts the active configuration controllers into the idle state. the active configuration controller is re-engaged after user mode is reached using jtag programming ( table 9?20 ). 1 while executing the config_io instruction, all user i/os are tri-stated. if reconfiguration after interruption is performed using configuration modes (rather than using jtag_program ), it is not necessary to issue the active_disengage instruction prior to config_io . you can start reconfiguration by either pulling the nconfig pin low for at least 500 ns, or issuing the pulse_nconfig instruction. if the active_disengage instruction was issued and the jtag_program instruction fails to enter user mode, you must issue the active_engage instruction to reactivate the active configuration controller. issuing the active_engage instruction also triggers the reconfiguration in configuration modes; ther efore, it is not necessary to pull the nconfig pin low or issue the pulse_nconfig instruction. active_disengage the active_disengage instruction places the active configuration controller (as and ap) into an idle state prior to jtag programming. the active configuration controller is the as controller when the msel pins are set to as configuration scheme and the ap controller when the msel pins are se t to the ap configuration scheme. the two purposes of placing the active controllers in an idle state are: to ensure that they are not trying to configure the device in their respective configuration modes duri ng jtag programming active_engage aa r (2) r (2) aa r (2) r (2) ???? pulse_nconfig a (3) a (3) oo???? pulse nconfig pin a (3) a (3) oo???? jtag tap reset r r r r r r r r ? ? ? ? notes to table 9?19 : (1) ?r? indicates that the instru ction is to be executed before the next instruct ion, ?o? indicates the op tional instruction, ?a ? indicates that the instruction must be executed, and ?na? indicates th at the instruction is not allowed in this mode. (2) required if you use active_disengage . (3) neither of the instruction is required if you use active_engage . (4) ap configuration is for cyclone iii devices only. table 9?19. jtag config_io (without jtag_program) instruction flows (1) (part 2 of 2) jtag instruction configuration scheme and current state of the cyclone iii device family prior to user mode (interrupting configuration) user mode power up ps fpp as ap (4) ps fpp as ap (4) ps fpp as ap (4)
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?63 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 to allow the controllers to properly recognize a successful jtag programming that results in the device reaching user mode the active_disengage instruction is required before jtag programming regardless of the current state of the cyclone iii device family if the msel pins are set to an active configuration scheme (as or ap). if the active_disengage instruction is issued during a passive configuration scheme (ps or fpp), it has no effect on the cyclone iii device family. similarly, the config_io instruction is issued after an active_disengage instruction, but is no longer required to properly halt configuration. table 9?20 lists the required, recommended, and optional instructions for each configuration mode. the ordering of the required instructions is a hard requirement and must be me t to ensure functionality. in as or ap configuration schemes, the active_disengage instruction puts the active configuration controllers into idle stat e. if a successful jtag programming is executed, the active controllers are automa tically re-engaged af ter user mode is reached using jtag programming. this causes the active controllers to transition to their respective user mode states. if jtag programming fails to get the cyclone i ii device family to enter user mode and re-engage active programming, there are avai lable methods to achieve this for the as or ap configuration schemes: when in the as configuration scheme, yo u can re-engage the as controller by moving the jtag tap controller to the reset state or by issuing the active_engage instruction. when in the ap configuration scheme, the only way to re-engage the ap controller is to issue the active_engage instruction. in this case, asserting the nconfig pin does not re-engage either active controller. table 9?20. jtag programming instruction flows (1) jtag instruction configuration scheme and current state of the cyclone iii device prior to user mode (interrupting configuration) user mode power up ps fpp as ap (2) ps fpp as ap (2) ps fpp as ap (2) factory na na na na na na na na r r r na active_disengage oorroooroorr config_io rc rc o o o o o o na na na na other jtag instructions o o o o o o o o o o o o jtag_program rrrrrrrrrrrr check_status rc rc rc rc rc rc rc rc rc rc rc rc jtag_startup rrrrrrrrrrrr jtag tap reset/ other instruction r r r r r r r r r r r r notes to table 9?20 : (1) ?r? indicates that the instru ction is required to be executed before the n ext instruction, ?o? indi cates the optional instru ction, ?rc? indicates the recommended in struction, and ?na? indicates that the instructio n is not allowed to be executed in this mode. (2) ap configuration is for cyclone iii devices only.
9?64 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 active_engage the active_engage instruction allows you to re-engag e a disengaged active controller. you can issue this instruction any time du ring configuration or user mode to re- engage an already disengaged active controller as well as trigger reconfiguration of the cyclone iii device family in the acti ve configuration scheme specified by the msel pin settings. the active_engage instruction functions as the pulse_nconfig instruction when the device is in passive configuration schemes (ps or fpp). the nconfig pin is disabled when the active_engage instruction is issued. 1 altera does not recommend using the active_engage instruction but it is provided as a fail-safe instruction for re-engaging the ac tive configuration (as or ap) controllers. changing the start boot address of the ap flash in the ap configuration scheme, for cycl one iii devices only, you can change the default configuration boot address of the parallel flash memory to any desired address using the apfc_boot_addr jtag instruction. apfc_boot_addr the apfc_boot_addr instruction is for cyclone iii de vices only and allows you to define a start boot address for the parall el flash memory in the ap configuration scheme. this instruction shifts in a start boot address for the ap flash. when this instruction becomes the active instruction, the tdi and tdo pins are connected through a 22-bit active boot address shift register. the shifte d-in boot address bits get loaded into the 22-bit ap boot address update register, which feeds into the ap controller. the content of the ap boot address update register can be captured and shifted-out of the active boot address shift register from tdo . the boot address in the boot address shift re gister and update register are shifted to the right (in the lsb direction) by two bits versus the intended boot address. the reason for this is that the two lsb of the address are not accessible. when this boot address is fed into the ap controller, two 0s are attached in the end as lsb, thereby pushing the shifted-in boot address to the left by two bits, whic h become the actual ap boot address the ap controller gets. if you have enabled the remote update feature, the apfc_boot_addr instruction sets the boot address for the fa ctory configuration only. 1 the apfc_boot_addr instruction is retained after reconfiguration while the system board is still powered on. however, you must reprogram the instruction whenever you restart the system board. device configuration pins table 9?21 through table 9?23 describe the connections and functionality of all the configuration-related pins on cyclone iii device family.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?65 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 table 9?21 lists the cyclone iii device family pin configuration. table 9?21. cyclone iii device family configuration pin summary bank description input/output dedicated powered by configuration mode 1 flash_nce, ncso output ? v ccio as, ap (2) 6 crc_error output ? v ccio /pull-up (1) optional, all modes 1 data[0] input yes v ccio ps, fpp, as bidirectional v ccio ap (2) 1 data[1], asdo input ? v ccio fpp output v ccio as bidirectional v ccio ap (2) 8 data[7..2] input ? v ccio fpp bidirectional v ccio ap (2) 8 data[15..8] bidirectional v ccio ap (2) 6 init_done output ? pull-up optional, all modes 1 nstatus bidirectional yes pull-up all modes 1 nce input yes v ccio all modes 1 dclk input yes v ccio ps, fpp output v ccio as, ap (2) 6 conf_done bidirectional yes pull-up all modes 1 tdi input yes v ccio jtag 1 tms input yes v ccio jtag 1 tck input yes v ccio jtag 1 nconfig input yes v ccio all modes 6 clkusr input ? v ccio optional 6 nceo output ? v ccio optional, all modes 6 msel[3..0] input yes v ccint all modes 1 tdo output yes v ccio jtag 7 padd[14..0] output ? v ccio ap (2) 8 padd[19..15] output ? v ccio ap (2) 6 padd[23..20] output ? v ccio ap (2) 1 nreset output ? v ccio ap (2) 6 navd output ? v ccio ap (2) 6 noe output ? v ccio ap (2) 6 nwe output ? v ccio ap (2) 5 dev_oe input ? v ccio optional, ap (2) 5 dev_clrn input ? v ccio optional, ap (2) notes to table 9?21 : (1) in cyclone iii devices, the crc_error pin is a dedicated output by defa ult. optionally, you can enable the crc_error pin as an open-drain output in the crc error detection tab from the device and pin options dialog box. (2) ap configuration is for cyclone iii devices only.
9?66 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 table 9?22 lists the dedicated configuration pins that must be connected properly on your board for successful configuration. some of these pins may not be required for your configuration scheme. table 9?22. dedicated configuration pins on cyclone iii device family (part 1 of 4) pin name user mode configuration scheme pin type description msel [3..0] n/a all input 4-bit configuration input that sets the cyclone iii device family configuration scheme. these pins must be hardwired to v cca or gnd. the msel[3..0] pins have internal 9-k ? pull-down resistors that are always active. some of the smaller devices or package options of cyclone iii devices do not have the msel[3] pin; therefore, the ap configuration scheme is not supported. nconfig n/a all input configuration control input. pulling this pin low with external circuitry during user mode causes the cyclone iii device family to lose its configuration data, enter a reset state, and tri-state all i/o pins. returning this pin to a logic-high level starts a reconfiguration. nstatus n/a all bidirectional open-drain the cyclone iii device family drives nstatus low immediately after power-up and releases it after the por time. status output. if an error occurs during configuration, nstatus is pulled low by the target device. status input. if an external source (for example, another cyclone iii device family) drives the nstatus pin low during configuration or initialization, the target device enters an error state. driving nstatus low after configuration and initialization does not affect the configured device. if you use a configuration device, driving nstatus low causes the configuration device to attempt to configure the device, but because the device ignores transitions on nstatus in user mode, the device does not reconfigure. to start a reconfiguration, nconfig must be pulled low. conf_done n/a all bidirectional open-drain status output. the target cyclone iii device family drives the conf_done pin low before and during configuration. after all configuration data is received without error and the initialization cycle starts, the target device releases conf_done. status input. after all data is received and conf_done goes high, the target device initializes and enters user mode. the conf_done pin must have an external 10-k ? pull-up resistor in order for the device to initialize. driving conf_done low after configuration and initialization does not affect the configured device. do not connect bus holds or adc to the conf_done pin.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?67 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 nce n/a all input active-low chip enable. the nce pin activates the cyclone iii device family with a low signal to allow configuration. the nce pin must be held low during configuration, initialization, and user-mode. in a single-device configuration, it must be tied low. in a multi-device configuration, nce of the first device is tied low while its nceo pin is connected to the nce pin of the next device in the chain. the nce pin must also be held low for successful jtag programming of the device. nceo n/a if option is on. i/o if option is off. all output open drain output that drives low when configuration is complete. in a single-device configuration, you can leave this pin floating or use it as a user i/o pin after configuration. in a multi-device configuration, this pin feeds the nce pin of the next device. the nceo of the last device in the chain is left floating or is used as a user i/o pin after configuration. if you use the nceo pin to feed the nce pin of the next device, use an external 10-k ? pull-up resistor to pull the nceo pin high to the v ccio voltage of its i/o bank to help the internal weak pull-up resistor. if you use the nceo pin as a user i/o pin after configuration, set the state of the pin on the dual-purpose pin settings. flash_nce , ncso (1) , (2) i/o as, ap (3) output output control signal from the cyclone iii device family to the serial configuration device in as mode that enables the configuration device. this pin functions as the ncso pin in as mode and the flash_nce pin in ap mode. output control signal from the cyclone iii device to the parallel flash in ap mode that enables the flash. connects to the ce# pin on the micron p30 or p33 flash. (3) this pin has an internal pull-up resistor that is always active. dclk (1) , (2) n/a ps, fpp, as, ap (3) input (ps, fpp). output (as, ap (3) ) in ps and fpp configuration, dclk is the clock input used to clock data from an external s ource into the target cyclone iii device family. data is latched into the device on the rising edge of dclk. in as mode, dclk is an output from the cyclone iii device family that provides timing for the configuration interface, it has an internal pull-up resistor (typically 25 k ? ) that is always active. in ap mode, dclk is an output from the cyclone iii device that provides timing for the configuration interface. (3) in active configuration schemes (as or ap), this pin will be driven into an inactive state after configuration completes. alternatively, in active schemes, you can use this pin as a user i/o during user mode. in passive schemes (ps or fpp) that use a control host, dclk must be driven either high or low, whichever is more convenient. in passive schemes, you cannot use dclk as a user i/o in user mode. toggling this pin after configuration does not affect the configured device table 9?22. dedicated configuration pins on cyclone iii device family (part 2 of 4) pin name user mode configuration scheme pin type description
9?68 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family configuration features cyclone iii device handbook august 2012 altera corporation volume 1 data[0] (1) , (2) i/o ps, fpp, as, ap (3) input (ps, fpp, as). bidirectional (ap) (3) data input. in serial configuration modes, bit-wide configuration data is presented to the target cyclone iii device family on the data[0] pin. in as mode, data[0] has an internal pull-up resistor that is always active. after as configuration, data[0] is a dedicated input pin with optional user control. after ps or fpp configuration, data[0] is available as a user i/o pin and the state of this pin depends on the dual-purpose pin settings. after ap configuration, data[0] is a dedicated bidirectional pin with optional user control. (3) data[1] , asdo (1) , (2) i/o fpp, as, ap (3) input (fpp), output (as). bidirectional (ap) (3) data input in non-as mode. control signal from the cyclone iii device family to the serial configuration device in as mode used to read out configuration data. the data[1] pin functions as the asdo pin in as mode. in as mode, data[1] has an internal pull-up resistor that is always active. after as configuration, data[1] is a dedicated output pin with optional user control. in ps configuration scheme, data[1] functions as user i/o pin during configuration, which means it is tri-stated. after fpp configuration, data[1] is available as a user i/o pin and the state of this pin depends on the dual-purpose pin settings. in ap configuration scheme, wh ich is for cycl one iii devices only, the byte-wide or word-wide configuration data is presented to the target cyclone iii device on data[7..0] or data[15..0] , respectively. after ap configuration, data[1] is a dedicated bidirectional pin with optional user control. (3) data[7..2] i/o fpp, ap (3) inputs (fpp). bidirectional (ap) (3) data inputs. in as or ps configuration schemes, they function as user i/o pins during configuration, which means they are tri-stated. after fpp configuration, data[7..2] are available as user i/o pins and the state of these pin depends on the dual-purpose pin settings. the byte-wide or word-wide configuration data is presented to the target cyclone iii device on data[7..0] or data[15..0] , respectively, in the ap configuration scheme (for cyclone iii devices only). after ap configuration, data[7..2] are dedicated bidirectional pins with optional user control. (3) data[15..8] i/o ap (3) bidirectional data inputs. word-wide configuration data is presented to the target cyclone iii device on data[15..0] . in ps, fpp, or as configuration schemes, they function as user i/o pins during configuration, which means they are tri-stated. after ap configuration, data[15:8] are dedicated bidirectional pins with optional user control. table 9?22. dedicated configuration pins on cyclone iii device family (part 3 of 4) pin name user mode configuration scheme pin type description
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?69 configuration features august 2012 altera corporation cyclone iii device handbook volume 1 padd[23..0] i/o ap (3) output 24-bit address bus from the cyclone iii device to the parallel flash in ap mode. connects to the a[24:1] bus on the micron p30 or p33 flash. nreset i/o ap (3) output active-low reset output. driving the nreset pin low resets the parallel flash. connects to the rst# pin on the micron p30 or p33 flash. navd i/o ap (3) output active-low address valid output. driving the navd pin low during a read or write operation indicates to the parallel flash that valid address is present on the padd[23..0] address bus. connects to the adv# pin on the micron p30 or p33 flash. noe i/o ap (3) output active-low output enable to the parallel flash. driving the noe pin low during a read operation enables the parallel flash outputs ( data[15..0] ). connects to the oe# pin on the micron p30 or p33 flash. nwe i/o ap (3) output active-low write enable to the parallel flash. driving the nwe pin low during a write operation indicates to the parallel flash that data on the data[15..0] bus is valid. connects to the we# pin on the micron p30 or p33 flash. note to table 9?22 : (1) if you are accessing the epcs device with the altasmi_parallel megafunc tion or your own user lo gic in user mode, in the device and pin options window of the quartu s ii software, in the dual-purpose pins category, select use as regular i/o for this pin. (2) to tri-state the as co nfiguration pins in user mode, turn on the enable input tri-state on active co nfiguration pins in user mode option from the device and pin options dialog box in the configuration tab. this option tri-states the dclk , data0 , ncso , and asdo pins. (3) ap configuration scheme is for cyclone iii devices only. table 9?22. dedicated configuration pins on cyclone iii device family (part 4 of 4) pin name user mode configuration scheme pin type description
9?70 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family design security cyclone iii device handbook august 2012 altera corporation volume 1 table 9?23 lists the optional configuration pins. if these optional configuration pins are not enabled in the quartus ii software, they are available as general-purpose user i/o pins. therefore, during configuration, these pins function as user i/o pins and are tri-stated with we ak pull-up resistors. design security the design security feature is for cyclone iii ls devices only. the design security feature is not supported in cyclone iii devices. cyclone iii ls design security protection cyclone iii ls device designs are protecte d from copying, reverse engineering, and tampering using configuration bitstream encryption and anti-tamper features. table 9?23. optional configuration pins pin name user mode pin type description clkusr n/a if option is on. i/o if option is off. input optional user-supplied clock input synchronizes the initialization of one or more devices. this pin is enabled by turning on the enable user-supplied start-up clock ( clkusr ) option in the quartus ii software. init_done n/a if option is on. i/o if option is off. output open-drain status pin used to indicate when the device has initialized and is in user-mode. when nconfig is low and during the beginning of configuration, the init_done pin is tri-stated and pulled high due to an external 10-k ? pull-up resistor. after the option bit to enable init_done is programmed into the device (during the first frame of configuration data), the init_done pin goes low. when initialization is complete, the init_done pin is released and pulled high and the device enters user mode. thus, the monitoring circuitry must be able to detect a low-to-high transition. this pin is enabled by turning on the enable init_done output option in the quartus ii software. the functionality of this pin changes if the enable oct_done option is enabled in the quartus ii software. this option controls whether the init_done signal is gated by the oct_done signal, which indicates the power-up oct calibration is complete. if this option is turned off, the init_done signal is not gated by the oct_done signal dev_oe n/a if option is on. i/o if option is off. input optional pin that allows you to override all tri-states on the device. when this pin is driven low, all i/o pins are tri-stated; when this pin is driven high, all i/o pins behave as programmed. this pin is enabled by turning on the enable device-wide output enable (dev_oe) option in the quartus ii software. dev_clrn n/a if option is on. i/o if option is off. input optional pin that allows you to override all clears on all device registers. when this pin is driven low, all registers are cleared; when this pin is driven high, all registers behave as programmed. this pin is enabled by turning on the enable device-wide reset (dev_clrn) option in the quartus ii software.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?71 design security august 2012 altera corporation cyclone iii device handbook volume 1 security against copying the volatile key is securely stored in the cyclone iii ls device and cannot be read out through any interfaces. the information of your design cannot be copied because the configuration file read-back feature is not supported in cyclone iii ls devices. security against reverse engineering reverse engineering from an encrypted configuration file is very difficult and time consuming because cyclone iii ls configuration file formats are proprietary and the file contains million of bits which require specific decryption. reverse engineering the cyclone iii ls device is just as difficult because the device is manufactured on the advanced 60-nm process technology. security against tampering cyclone iii ls devices support the following anti-tamper features: ability to limit jtag instruction set and provides protection against configuration data readback over the jtag port ability to clear contents of fpga logic, configuration memory, user memory, and volatile key error detection (ed) cycle indicator to co re cyclone iii ls devices provide a pass or fail indicator at every ed cycle and visibility over intentional or unintentional change of cram bits. f for more information about anti-tamper protec tion for cyclone iii ls devices, refer to an 593: anti-tamper protectio n for cyclone iii ls devices . f for more information about the implementa tion of secure conf iguration flow in quartus ii, refer to an 589: using design security feature in cyclone iii ls devices . aes decryption block the main purpose of the aes decryption block is to decrypt the configuration bitstream prior to entering configuration. prior to receiving encrypted data, you must enter and store the 256-bit volatile key in the device with battery backup. the key is scrambled prior to storing it in the key storage to make it more difficult for anyone to retrieve the stored key using de-capsulation of the device. key storage cyclone iii ls devices support volatile key programming. table 9?24 lists the volatile key features. table 9?24. security key features (part 1 of 2) volatile key features description key programmability reprogrammable and erasable external battery required key programming method (1) on-board
9?72 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family design security cyclone iii device handbook august 2012 altera corporation volume 1 aes volatile key zeroization is supported in cyclone iii ls device s. the volatile key clear and key program jtag instructions from the device core is supported to protect cyclone iii ls devices against tampering. you can clear and reprogram the key from the device core whenever tampering attempt is detected by executing the key_clr_vreg and key_prog_vol jtag instructions to clear and reprogram the volatile key, and then reset the cy clone iii ls device by pulling the nconfig pin low for at least 500 ns. when nconfig returns to a logic-high level and nstatus is released by the cyclone iii ls device , reconfiguration begins to configure the cyclone iii ls device with a benign or unencrypted configuration file. after configuration is successfully completed, observe the cyclecomplete signal from error detection block to ensure that reconfigured cram bits content is correct for at least one error detection cycle. you can also observe the cyclecomplete and crcerror signals for any unintentional cram bits change. f cyclecomplete is a signal that is routed from the error detection block to the core for the purpose of every complete error detection cycle. you must include the cycloneiiils_crcblock wysiwyg atom in your design to use the cyclecomplete signal. for more information about the seu mitigation, refer to the seu mitigation in cyclone iii devices chapter. v ccbat is a dedicated power supply for the volatile key storage and not shared with other on-chip power supplies, such as v ccio or v cc . v ccbat continuously supplies power to the volatile register regardless of the on-chip supply condition. the nominal voltage for this supply is 3.0 v, while its valid operating range is from 1.2 to 3.3 v. if you do not use the volatile security key, you may connect the v ccbat to a 1.8-v, 2.5-v, or 3.0-v power supply. 1 after power-up, wait for 200 ms (standard po r) or 9 ms (fast por) before beginning the key programming to ensure that v ccbat is at its full rail. 1 as an example, br1220 (-30c to +80c) and br2477a (-40 c to +125c) are lithium coin-cell type batteries used for volatile key storage purposes. f for more information about the battery specifications, refer to the cyclone iii ls device data sheet chapter. cyclone iii ls design security solution cyclone iii ls devices are sram-based devices. to provide design security, cyclone iii ls devices require a 256-bit volatile key for configuration bitstream encryption. design protection secure against copying, reverse engineering, and tampering note to table 9?24 : (1) key programming is carried out using the jtag interface. table 9?24. security key features (part 2 of 2) volatile key features description
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?73 design security august 2012 altera corporation cyclone iii device handbook volume 1 the cyclone iii ls design security feature pr ovides routing architecture optimization for design separation flow with the quar tus ii software. design separation flow achieves both physical and functional isolation between design partitions. f for more information about the design separation flow, refer to an 567: quartus ii design separation flow. you can carry out secure configuration in steps 1 ? 3 , as shown in figure 9?31 : 1. generate the encryption key programming file and encrypt the configuration data. the quartus ii configuration software uses the user-defined 256-bit volatile keys to generate a key programming file and an encrypted configuration file. the encrypted configuration file is stored in an external memory, such as a flash memory or a configuration device. 2. program the volatile key into the cyclone iii ls device. program the user-defined 256-bit volatile keys into the cyclone iii ls device through the jtag interface. 3. configure the cyclone iii ls device. at system power-up, the external memory device sends the encrypted configuration file to th e cyclone iii ls device. available security modes there are several security modes available on cyclone iii ls devices, they are: volatile key no key operation factory mode volatile key secure operation with volatile key progra mmed and required external battery?this mode accepts both encrypted and unencr ypted configuration bitstreams. use the unencrypted configuration bitstream support for board-level testing only. figure 9?31. cyclone iii ls secure configuration flow (1) note to figure 9?31 : (1) step 1, step 2, and step 3 corr espond to the procedure detailed in ?cyclone iii ls design security solution? . aes decryptor fpga v olatile key encrypted config u ration data encryption key programming file encrypted config u ration data config u ration data s t ep 1. gene r a t e t he enc r yp t ion key p r og r amming file enc r yp t configu r a t ion da t a and s t o r e in ex t e r nal memo r y s t ep 3. configu r e t he cyclone iii ls device using enc r yp t ed configu r a t ion da t a q u art u s ii s t ep 2. p r og r am vola t ile key in t o cyclone iii ls device v olatile key v olatile key storage memory storage encrypted config u ration data aes encryptor
9?74 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family remote system upgrade cyclone iii device handbook august 2012 altera corporation volume 1 no key operation only unencrypted configuration bitstreams are allowed to configure the device. factory mode after power up, cyclone iii ls devices must be in factory mode to program the volatile key. the factory private jtag instruction must be issued after the device successfully exits from por and before the device starts loading the core configuration data to enable access to all other instructions from the jtag pins. the device configuration data and aes volatile key are cleared if the factory instruction is executed. table 9?25 lists the configuration bitstream and the configuration mode supported for each security mode. remote system upgrade cyclone iii devices support remote system upgrade in as and ap configuration schemes. cyclone iii ls devices support remote system upgrade in the as configuration scheme only. remote system upgrade can also be implemented with advanced cyclone iii features such as real -time decompression of configuration data in the as configuration scheme. the serial configuration device uses th e as configuration sc heme to configure cyclone iii or cyclone iii ls devices the supported parallel flash uses the ap configuration sc heme to configure cyclone iii devices remote system upgrade is not supported in the multi-device configuration chain for any configuration scheme. table 9?25. security modes supported mode function configuration file allowed configuration mode volatile key secure encrypted ps with aes (without decompression). fpp with aes (without decompression). remote update fast as with aes (without decompression). fast as (without decompression). board-level testing unencrypted all configuration modes that do not engage the design security feature. no key ? unencrypted all configuration modes that do not engage the design security feature. factory volatile key programming ??
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?75 remote system upgrade august 2012 altera corporation cyclone iii device handbook volume 1 functional description the dedicated remote system upgrade circui try in cyclone iii device family manages remote configuration and provides error dete ction, recovery, and status information. user logic or a nios ? ii processor implemented in the cyclone iii device family logic array provides access to the remote configur ation data source and an interface to the configuration memory. 1 configuration memory refers to serial co nfiguration devices (epcs) or supported parallel flash memory, and depends on th e configuration scheme that you use. the remote system upgrade process of cy clone iii device family involves the following steps: 1. a nios ii processor (or user logic) impl emented in the cyclone iii device family logic array receives new configuration data from a remote location. the connection to the remote source is a communication pr otocol such as the transmission control protocol/internet protocol (tcp/ip), peri pheral component interconnect (pci), user datagram protocol (udp), univer sal asynchronous receiver/transmitter (uart), or a proprietary interface. 2. the nios ii processor (or user logic) writes this new configuration data into a configuration memory. 3. the nios ii processor (or user logic) starts a reconfiguration cycle with the new or updated configuration data. 4. the dedicated remote system upgrade ci rcuitry detects and recovers from any error that might occur during or after the reconfiguration cycle, and provides error status information to the user design. figure 9?32 shows the steps required for performing remote configuration updates (the numbers in figure 9?32 coincide with steps 1 ? 4 ). figure 9?32. functional diagram of cyclone iii device family remote system upgrade de v elopment location de v ice config u ration cyclone iii de v ice family control mod u le data data data 1 2 3 config u ration memory
9?76 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family remote system upgrade cyclone iii device handbook august 2012 altera corporation volume 1 figure 9?33 shows the block diagrams to implem ent remote system upgrade with the as and ap configuration schemes. 1 remote system upgrade only supports single-device configuration. when using remote system upgrade in cy clone iii devices, you must set the mode select pins ( msel [3.0] ) to the as or ap configuration scheme. when using remote system upgrade in cyclone ii i ls devices, you must set msel [3..0] to the as configuration scheme. the msel pin setting in remote system upgrade mode is the same as standard configuration mode. standa rd configuration mode refers to normal cyclone iii device family co nfiguration mode with no support for remote system upgrades, and the remote system upgrade circuitry is disabled. when using remote system upgrade in cyclone iii devi ce family, you must enable the remote update mode option setting in the quartus ii software. for more information, refer to ?enabling remote update? on page 9?76 . enabling remote update you can enable or disable remote update for cyclone iii device family in the quartus ii software before design compilation (in the compiler settings menu). to enable remote update in the compiler settings of the project, perform the following steps in the quartus ii software: 1. on the assignments menu, click device . the settings dialog box appears. 2. click device and pin options . the device and pin options dialog box appears. 3. click the configuration tab. 4. from the configuration mode list, select remote . 5. click ok . 6. in the settings dialog box, click ok . figure 9?33. remote system upgrade block diagrams for as and ap configuration schemes cyclone iii or cyclone iii ls de v ice nios processor or user logic serial configuration device serial config u ration de v ice cyclone iii de v ice nios processor or user logic parallel flash memory s u pported parallel flash
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?77 remote system upgrade august 2012 altera corporation cyclone iii device handbook volume 1 configuration image types when using remote system upgrade, cyclone iii device family configuration bitstreams are classified as factory config uration images or application configuration images. an image, also referred to as a co nfiguration, is a design loaded into the device that performs certain user-defined functions. each device in your system requires one factory image with the addition of one or more application images. the factory image is a user-defined fall-back, or safe, configuration and is responsible for administering remote updates with dedi cated circuitry. application images implement user-defined functionality in the target cyclone iii device family. you can include the default application image functionality in the factory image. remote system upgrade mode in remote update mode, the cyclone iii de vice family loads the factory configuration image after power-up. the user-defined factory configuration determines which application configuration is to be loaded and triggers a reconfiguration cycle. the factory configuration can also contain application logic. when used with configuration memory, remo te update mode allows an application configuration to start at any flash sector boundary. additionally, the remote update mode features a user watchdog timer that can detect functional errors in an application configuration. remote update mode when a cyclone iii device fa mily is first powered up in remote update in the as configuration scheme, it loads the factory configuration located at address boot_address[23:0] = 24b'0 . altera recommends storing the factory configuration image for your system at boot address 24b'0 when using the as configuration scheme. a factory configuration image is a bitstream for cyclone i ii device family in your system that is programmed during production and is the fall-back image when an error occurs. this image is stored in no n-volatile memory and is never updated or modified using remote access. this corres ponds to the start address location 0x000000 in the serial configuration device. when you use the ap configuration in cycl one iii devices, the cyclone iii device loads the default factory configuration loca ted at the following address after device power-up in remote update mode: boot_address[23:0] = 24'h010000 = 24'b1 0000 0000 0000 0000 you can change the default factory configuration address to any desired address using the apfc_boot_addr jtag instruction. the factory configuration image is stored in non-volatile memory and is never updated or modified using remote access. this corresponds to the default start address loca tion 0x010000 represented in 16-bit word addressing (or the updated address if the default address is changed) in the supported parallel flash memory. for more information about the application of the apfc_boot_addr jtag instruction in ap configuration scheme, refer to ?jtag instructions? on page 9?60 .
9?78 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family remote system upgrade cyclone iii device handbook august 2012 altera corporation volume 1 the factory configuration image is user designed and contains soft logic (nios ii processor or state machine and the remote communication interface) to: process any errors based on status information from the dedicated remote system upgrade circuitry communicate with the remote host and receive new application configurations and store the new configuration data in the local non-volatile memory device determine which application configuration is to be loaded into the cyclone iii device family enable or disable the user watchdog time r and load its time-out value (optional) instruct the dedicated remote system upgr ade circuitry to start a reconfiguration cycle figure 9?34 shows the transitions be tween the factory and application configurations in remote update mode. after power up or a configuration error, the factory configuration logic writes the remote system upgrade control register to specify the address of the application configuration to be loaded. the factory conf iguration also specifies whether or not to enable the user watchdog timer for the ap plication configuration and, if enabled, specifies the timer setting. 1 only valid application configurations designed for remote update mode include the logic to reset the timer in user mode. for more information about the user watchdog timer, refer to ?user watchdog timer? on page 9?85 . if there is an error while loading the application configuration, the remote system upgrade status register is written by the de dicated remote system upgrade circuitry of the cyclone iii device family, specifyi ng the cause of the reconfiguration. figure 9?34. transitions between configurations in remote update mode set control register and reconfig u re set control register and reconfig u re reload a different application reload a different application application n config u ration application 1 config u ration factory config u ration config u ration error config u ration error po w er up config u ration error
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?79 remote system upgrade august 2012 altera corporation cyclone iii device handbook volume 1 the following actions cause the remote syst em upgrade status register to be written: nstatus driven low externally internal crc error user watchdog timer time-out a configuration reset (logic array nconfig signal or external nconfig pin assertion) cyclone iii device family au tomatically load the factory configuration located at address boot_address[23:0] = 24'b0 for the as configuration scheme, and default address boot_address[23:0] = 24'h010000 (or the updated address if the default address is changed) for the ap configur ation scheme. this user-designed factory configuration reads the remote system upgrad e status register to determine the reason for reconfiguration. then the factory co nfiguration takes the appropriate error recovery steps and writes to the remote system upgrade control register to determine the next application configuration to be loaded. when cyclone iii device family successfully load the application configuration, the devices enter user mode. in user mode, the soft logic (nios ii processor or state machine and the remote communication inte rface) assists the cyclone iii device family in determining when a remote syst em update is arriving. when a remote system update arrives, the soft logic rece ives the incoming data, writes it to the configuration memory device, and triggers the device to load the factory configuration. the factory configuration reads the remote system upgrade status register, determines the valid application configuration to load, writes the remote system upgrade control register accordingly, and starts system reconfiguration.
9?80 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family remote system upgrade cyclone iii device handbook august 2012 altera corporation volume 1 dedicated remote syst em upgrade circuitry this section explains the implementation of the cyclone iii de vice family remote system upgrade dedicated circuitry. th e remote system upgrade circuitry is implemented in hard logic. this dedicated circuitry interfaces to the user-defined factory application configurat ions implemented in the cycl one iii device family logic array to provide the complete remote configuration solution. the remote system upgrade circuitry contains the remote syst em upgrade registers, a watchdog timer, and state machines that control those components. figure 9?35 shows the data path of the remote system upgrade block. figure 9?35. remote system upgrade circuit data path (1) notes to figure 9?35 : (1) ru_dout , ru_shiftnld , ru_captnupdt , ru_clk , ru_din,ru_nconfig , and ru_nrstimer signals are internally controlled by the altremote_update megafunction. (2) ru_clk refers to altremote_update megafunction bloc k "clock" input. for more information, refer to the remote update circuitry (altremote _update) megafunction user guide . stat u s register (sr) pre v io u s state register 2 bit[30..0] pre v io u s state register 1 bit[30..0] c u rrent state logic bit[31..0] internal oscillator control register bit [3 8 ..0] logic update register bit [3 8 ..0] u pdate logic bit [40..39] do u t din bit [3 8 ..0] do u t din capt u re shift register clko u t capt u re u pdate logic clkin ru_di n ru_shiftnld ru_captnupdt ru_clk (2) ru_dout ru_nrstimer logic array rsu reconfig u ration state machine user w atchdog timer rsu master state machine timeo u t ru_nco n fig
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?81 remote system upgrade august 2012 altera corporation cyclone iii device handbook volume 1 remote system upgrade registers the remote system upgrade block contains a series of registers that stores the configuration addresses, wa tchdog timer settings, and status information. these registers are listed in table 9?26 . the control and status registers of the re mote system upgrade are clocked by the 10-mhz internal oscillator (the same oscillat or that controls the user watchdog timer). however, the shift and update registers of the remote system upgrade are clocked by the maximum frequency of 40-mhz user clock input ( ru_clk ). there is no minimum frequency for ru_clk. remote system upgrade control register the remote system upgrade control register stores the application configuration address, the user watchdog timer setti ngs, and option bits for application configuration. in remote update mode fo r the as configuration scheme, the control register address bits are set to all zeros ( 24'b0 ) at power up to load the as factory configuration. in remote update mode fo r the ap configuration scheme, the control register address bits are set to 24'h010000 (2 4'b1 0000 0000 0000 0000) at power up to load the ap default factory configuration. however, for the ap configuration scheme, you can change the default fa ctory configuration address to any desired address using the apfc_boot_addr jtag instruction. additionally, a factory configuration in remote update mode has write access to this register. table 9?26. remote system upgrade registers register description shift register this register is accessible by the logic array and allows the update, status, and control registers to be written and sampled by user logic. write access is enabled in remote update mode for factory configurations to allow writes to the update register. write access is disabled for all application configurations in remote update mode. control register this register contains the current configuration address, the user watchdog timer settings, one option bit for checking early conf_done , and one option bit for selecting the internal oscillator as the startup state machine clock. during a read operation in an application configuration, this register is read into the shift register. when a reconfiguration cycle is started, the contents of the update register are written into the control register. update register this register contains data similar to that in the control register. however, it can only be updated by the factory configuration by shifting data into the shift register and issuing an update operation. when a reconfiguration cycle is triggered by the factory configuration, the control register is updated with the contents of the update register. during a read in a factory configuration, this register is read into the shift register. status register this register is written to by the remote system upgrade circuitry on every reconfiguration to record the cause of the reconfiguration. this information is used by the factory configuration to determine the appropriate action following a reconfiguration. during a ca pture cycle, this register is read into the shift register.
9?82 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family remote system upgrade cyclone iii device handbook august 2012 altera corporation volume 1 the control register bit positions are shown in figure 9?36 and listed in table 9?27 . in the figure, the numbers show the bit position of a setting in a register. for example, bit number 35 is the enable bit for the watchdog timer. when enabled, the early conf_done check ( cd_early ) option bit ensures that there is a valid configuration at the boot address spec ified by the factory configuration and that it is of the proper size. if an invalid configuration is detected or conf_done pin is asserted too early, the device resets and then reconfigures the factory configuration image. the internal oscillator, as startup state machine clock ( osc_int ) option bit, ensures a functional startup clock to elimin ate the hanging of startup when enabled. when all option bits are turned on, they provide complete coverage for the programming and startup portions of the application configuration. it is strongly recommended that you turn on both the cd_early and osc_int option bits. 1 the cd_early and osc_int option bits for the application configuration must be turned on by the factory configuration. remote system upgrade status register the remote system upgrade status regist er specifies the reconfiguration trigger condition. the various trigger and error conditions include: cyclical redundancy check (crc) erro r during application configuration nstatus assertion by an external device due to an error figure 9?36. remote system upgrade control register rsv2 cd_early osc_int wd_en rsv1 ru_address[21..0] wd_timer[11.. 38 37 36 35 34 33 12 11 0 table 9?27. remote system upgrade control register contents control register bit value definition wd_timer[11..0] 12'b000000000000 user watchdog time-out value (most significant 12 bits of 29-bit count value: {wd_timer[11..0],17'b1000} ) ru_address[21..0] 22'b0000000000000000000000 configuration address (most significant 22 bits of 24-bit boot address value: boot_address[23:0] = {ru_address[21..0],2'b0} ) rsv1 1'b0 reserved bit wd_en 1'b1 user watchdog timer enable bit osc_int (1) 1?b1 internal oscillator as startup state machine clock enable bit cd_early (1) 1?b1 early conf_done check rsv2 1'b1 reserved bit note to table 9?27 : (1) option bit for the ap plication configuration.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?83 remote system upgrade august 2012 altera corporation cyclone iii device handbook volume 1 cyclone iii device family logic array tr iggered a reconfiguration cycle, possibly after downloading a new application configuration image external configuration reset ( nconfig ) assertion user watchdog timer time out table 9?28 lists the contents of the current state logic in the status register, when the remote system upgrade master state ma chine is in factory configuration or application configuration accessing the factory information or application information respectively, and the msel pin se tting is set to as or ap configuration scheme. the status register bit in table 9?28 lists the bit positions in a 32-bit logic. the previous two application configuratio ns are available in the previous state registers (previous state register 1 and pr evious state register 2), but only for debugging purposes. table 9?28. remote system upgrade current st ate logic contents in status register (1) current state logic status register bit definition description factory information (2) 31:30 master state machine current state the current state of the rsu master state machine 29:24 reserved bits padding bits that are set to all 0's 23:0 boot address the current 24-bit boot address that was used by the configuration scheme as the start address to load the current configuration. application information part 1 (3) 31:30 master state machine current state the current state of the rsu master state machine 29 user watchdog timer enable bit the current state of the user watchdog enable, which is active high 28:0 user watchdog timer time-out value the current entire 29-bit watchdog time-out value application information part 2 (3) 31:30 master state machine current state the current state of the rsu master state machine 29:24 reserved bits padding bits that are set to all 0?s 23:0 boot address the current 24-bit boot address that was used by the configuration scheme as the start address to load the current configuration notes to table 9?28 : (1) the msel pin setting is in the as or ap configuration scheme. (2) the rsu master state machine is in factory configuration. (3) the rsu master state machine is in application configuration.
9?84 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family remote system upgrade cyclone iii device handbook august 2012 altera corporation volume 1 table 9?29 lists the contents of the previous stat e register 1 and previous state register 2 in the status register when the msel pin se tting is set to the as or ap scheme. the status register bit in table 9?29 shows the bit positions in a 31-bit register. the previous state register 1 and previous state register 2 have the same bit definitions. the previous state register 1 reflects th e current application configuration and the previous state register 2 reflects the previous application configuration. if a capture is inappropriately done, for exam ple, capturing a previous state before the system has entered remote update applicatio n configuration for the first time, a value will output from the shift register to indi cate that the capture was incorrectly called. remote system upgrade state machine the remote system upgrade control and update registers have identical bit definitions, but serve different roles ( table 9?26 on page 9?81 ). while both registers can only be updated when the device is lo aded with a factory configuration image, the update register writes are controlled by the user logic, and the control register writes are controlled by the remote system upgrade state machine. in factory configurations, the user logic should send the option bits ( cd_early and osc_int ), the configuration address, and wa tchdog timer settings for the next application configuration bit to the up date register. when the logic array configuration reset ( ru_nconfig ) goes high, the remote system upgrade state machine updates the control register with the contents of the update register and starts system reconfiguration from the new application page. table 9?29. remote system upgrade previous stat e register 1 and previous state register 2 contents in status register (1) status register bit definition description 30 nconfig source one-hot, active-high field that describes the reconfiguration source that caused the cyclone iii device family to leave the previous application configuration. if there is a tie, the higher bit order indicates precedence. for example, if nconfig and remote system upgrade nconfig reach the reconfiguration state machine at the same time, the nconfig precedes the remote system upgrade nconfig . 29 crc error source 28 nstatus source 27 user watchdog timer source 26 remote system upgrade nconfig source 25:24 master state machine current state the state of the master state machine during reconfiguration causes the cyclone iii device family to leave the previous application configuration. 23:0 boot address the address used by the configuration scheme to load the previous application configuration. note to table 9?29 : (1) the msel pin settings are in the as configuration scheme.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?85 remote system upgrade august 2012 altera corporation cyclone iii device handbook volume 1 1 to ensure the successful reconfiguration between the pages, assert ru_nconfig signal for a minimum of 250 ns. this is equivalent to strobing the reconfig input of the altremote_update megafunction high for a minimum of 250 ns. if there is an error or reconfiguration trigger condition, the remote system upgrade state machine directs the system to load a factory or application configuration (based on mode and error condition) by setting the control register accordingly. table 9?30 lists the contents of the control regist er after such an event occurs for all possible error or trigger conditions. the remote system upgrade status regist er is updated by the dedicated error monitoring circuitry after an error conditio n but before the factory configuration is loaded. user watchdog timer the user watchdog timer prevents a faulty application configuration from stalling the device indefinitely. the system uses the timer to detect functional errors after an application configuration is successfully lo aded into the cyclone iii device family. the user watchdog timer is a counter that counts down from the initial value loaded into the remote system upgrade control re gister by the factory configuration. the counter is 29-bits wide and has a maximum count value of 2 29 . when specifying the user watchdog timer value, specify only th e most significant 12 bits. remote system upgrade circuitry appends 17?b1000 to form the 29 bits value for the watchdog timer. the granularity of the timer setting is 2 17 cycles. the cycle time is based on the frequency of the 10-mhz internal oscillator. table 9?31 lists the operating range of th e 10-mhz internal oscillator. the user watchdog timer begins counting after the application configuration enters device user mode. this timer must be periodically reloaded or reset by the application configuration before the timer expires by asserting ru_nrstimer . if the application configuration does not reload the user wa tchdog timer before the count expires, a time-out signal is generated by the remote system upgrade dedicated circuitry. the time-out signal tells the re mote system upgrade circuitr y to set the user watchdog timer status bit ( wd ) in the remote system upgrade status register and reconfigures the device by loading the factory configuration. table 9?30. control register contents after an error or reconfiguration trigger condition reconfiguration error/trigger control register setting in remote update nconfig reset all bits are 0 nstatus error all bits are 0 core triggered reconfiguration update register crc error all bits are 0 wd time out all bits are 0 table 9?31. 10-mhz internal oscillator specifications minimum typical maximum unit 5 6.5 10 mhz
9?86 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family document revision history cyclone iii device handbook august 2012 altera corporation volume 1 1 to allow remote system upgrade dedicated ci rcuitry to reset the watchdog timer, you must assert the ru_nrstimer signal active for a minimum of 250 ns. this is equivalent to strobing the reset_timer input of the altremote_update megafunction high for a minimum of 250 ns. the user watchdog timer is not enabled duri ng the configuration cycle of the device. errors during configuration are detected by the crc engine. also, the timer is disabled for factory configuration. functional errors must not exist in the factory configuration because it is stored and validated during production and is never updated remotely. 1 by default, the user watchdog timer is disa bled in factory configurations and enabled in user-mode application configurations. if you do not want to use the watchdog timer feature, disable this feat ure in the factory configuration. quartus ii software support implementation in your design requires a remote system upgrade interface between the cyclone iii device family logic array an d the remote system upgrade circuitry. you must also generate configur ation files for production and remote programming of the system configuration memory. the quartus ii software provides these features. the two implementation options, the altremote_update megafunction and the remote system upgrade atom, are for the interface between the remote system upgrade circuitry and the device logic array interface. using the megafunction block instead of creating your own logic saves design time and offers more efficient logic synthesis and device implementation. f for more information about the altremote_update megafunction, refer to the remote update circuitry (altremote_ update) megafunction user guide . document revision history table 9?32 lists the revision history for this document. table 9?32. document revision history (part 1 of 2) date version changes august 2012 2.2 updated micron p30 and p33 parallel nor flash devices. july 2012 2.1 finalized table 9?3, table 9?13, and table 9?14. december 2011 2.0 updated ?configuration features? on page 9?2, ?reset? on page 9?8,?as configuration (serial configuration devices)? on page 9?12, ?single-device as configuration? on page 9?13, ?ap configuration supported flash memory? on page 9?24, ?single-device ap configuration? on page 9?25, ?jtag configuration? on page 9?48, and ?user watchdog timer? on page 9?85. removed the ?overriding the internal oscillator? section from ?jtag configuration?. updated figure 9?11, figure 9?24, figure 9?25, figure 9?26, figure 9?27, figure 9?29, figure 9?30. updated table 9?13, table 9?18, and table 9?22. replaced links to an 386: using the parallel flash loader with the quartus ii software links to parallel flash loader megafunction user guide.
chapter 9: configuration, design s ecurity, and remote syst em upgrades in the cycl one iii device family 9?87 document revision history august 2012 altera corporation cyclone iii device handbook volume 1 december 2009 1.2 updated table 9?7, table 9?10, table 9?22, and table 9?28. updated figure 9?23 and figure 9?30. updated the ?programming serial configuration devices? and ?security against tampering? sections. minor changes to the text. july 2009 1.1 made a minor correction to the part number. june 2009 1.0 initial release. table 9?32. document revision history (part 2 of 2) date version changes
9?88 chapter 9: configuration, design security, and remote system up grades in the cyclone iii device family document revision history cyclone iii device handbook august 2012 altera corporation volume 1
ciii51011-3.4 ? 2012 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 july 2012 subscribe iso 9001:2008 registered 10. hot-socketing and power-on reset in the cyclone iii device family the cyclone ? iii device family (cyclone iii an d cyclone iii ls devices) offers hot-socketing, which is also known as hot plug-in or hot swap, and power sequencing support without the use of any external devi ces. you can insert or remove cyclone iii device family or a board in a system during system operation without causing undesirable effects to the running system bus or the board that is inserted into the system. the hot-socketing feature removes some of the difficulties that you encounter when you use cyclone iii device family on a pcb that contains a mixture of 3.3, 3.0, 2.5, 1.8, 1.5, and 1.2 v devices. with the hot-socketin g feature of cyclone iii device family, you no longer need to ensure a proper power up sequence for each device on the board. cyclone iii device family ho t-socketing feature provides: board or device insertion and removal without external components or board manipulation support for any power-up sequence non-intrusive i/o buffers to system buses during hot insertion this chapter also describes the power-on reset (por) circuitry in cyclone iii device family. the por circuitry keeps the devices in the reset state until the power supplies are in operating range. this chapter contains the following sections: ?hot-socketing specifications? on page 10?1 ?hot-socketing feature im plementation? on page 10?3 ?por circuitry? on page 10?3 hot-socketing specifications cyclone iii device family is a hot-socketing compliant without the need for any external components or special design requirements. hot-socketing support in cyclone iii device family ha s the following advantages: you can drive the device before power-up without damaging the device. i/o pins remain tristated during power-up. the device does not drive out before or during power-up, therefore not affecting other buses in operation. july 2012 ciii51011-3.4
10?2 chapter 10: hot- socketing and power-on reset in the cyclone iii device family hot-socketing specifications cyclone iii device handbook july 2012 altera corporation volume 1 devices driven before power-up you can drive signals into i/o pins, dedicated input pins, and dedicated clock pins of cyclone iii device family before or du ring power-up or power down without damaging the device. the cyclone iii devi ce family supports any power-up or power down sequence (v ccio , v ccint ) to simplify system level design. i/o pins remain tristated during power-up the output buffers of cyclone iii device family are turned off during system power up or power down. cyclone iii device family does not drive out until the device is configured and working in recommended operating conditions. the i/o pins are tristated until the device enters user mode with a weak pull-up resistor (r) to v ccio . you can power-up or power down the v ccio , v cca , and v ccint pins in any sequence. the v ccio , v cca , and v ccint pins must have a monotonic rise to their steady state levels. the maximum power ramp rate is 3 ms for fast por time and 50 ms for standard por time. the minimum power ramp rate is 50 s. v ccio for all i/o banks must be powered up during device operation. all v cca pins must be powered to 2.5 v (even when plls are not used), and must be powered up and powered down at the same time. v ccd_pll must always be connected to v ccint through a decoupling capacitor and ferrite bead. du ring hot-socketing, the i/o pin capacitance is less than 15 pf and the clock pin capacitance is less than 20 pf. cyclone iii device family meets the following hot-socketing specification: the hot-socketing dc specification is | i iopin | < 300 ua the hot-socketing ac specification is | i iopin | < 8 ma for the ramp rate of 10 ns or more for ramp rates faster than 10 ns on i/o pins, |i iopin | is obtained with the equation i = c dv/dt, in which c is the i/o pin capa citance and dv/dt is the slew rate. the hot-socketing specification takes into acco unt the pin capacitance but not board trace and external loading capacitance. you mu st consider additional or separate capacitance for trace, connector, and loading. i iopin is the current for any user i/o pins on the device. the dc specification applies when all v cc supplied to the device is stable in the powered-up or powered-down conditions. a possible concern for semiconductor device s in general regarding hot-socketing is the potential for latch up. latch up can occur when electrical subsystems are hot-socketed into an active system. during hot-socketing, the signal pins may be connected and driven by the active system before the power supply can provide current to the v cc of the device and ground planes. this condition can lead to latch up and cause a low-impedance path from v cc to ground in the device. as a result, the device extends a large amount of curren t, possibly causing electrical damage. the design of the i/o buffers and hot-so cketing circuitry ensu res that cyclone iii device family are immune to latch up during hot-socketing. f for more information about the hot-socketing specification, refer to the cyclone iii device data sheet and cyclone iii ls device data sheet chapters and the hot-socketing and power-sequencing feature and testing for altera devices white paper.
chapter 10: hot-socketing and power-on re set in the cyclone iii device family 10?3 hot-socketing featur e implementation july 2012 altera corporation cyclone iii device handbook volume 1 hot-socketing feature implementation each i/o pin has the circuitry shown in figure 10?1 . the hot-socketing circuit does not include conf_done , nceo , and nstatus pins to ensure that they are able to operate during configuration. thus, it is expected behavior for these pins to drive out during power up and power down sequences. figure 10?1 shows the hot-socketing circuit bl ock diagram for cyclone iii device family. the por circuit monitors the voltage level of power supplies and keeps the i/o pins tristated until the device is in user mode. the weak pull-up resistor (r) in cyclone iii device family i/o element (ioe) keeps the i/ o pins from floating. the 3.3-v tolerance control circuit permits the i/o pins to be driven by 3.3 v before v ccio , v cc , and v cca supplies are powered up, and it prevents the i/o pins from driving out when the device is not in user mode. 1 altera uses gnd as reference for hot-socketing operation and i/o buffer designs. to ensure proper operation, altera recommends connecting the gnd between boards before connecting the power supplies. this prevents the gnd on your board from being pulled up inadvertently by a path to power through other components on your board. a pulled up gnd can otherwise caus e an out-of-specifica tion i/o voltage or current condition with the altera ? device. por circuitry cyclone iii device family cont ains por circuitry to keep the device in a reset state until the power supply voltage levels have stabilized during power up. during por, all user i/o pins are tristated until the v cc reaches the recommended operating levels. in addition, the por circuitry also ensures the v ccio level of i/o banks 1, 6, 7, and 8 that contains configuration pins reac h an acceptable level before configuration is triggered. figure 10?1. hot-socketing circuit block diagram for cyclone iii device family o u tp u t ena b le v ccio hot socket o u tp u t pre-dri v er v oltage tolerance control po w er on reset monitor weak p u ll-up re s i s to r pad inp u t b u ffer to logic array r
10?4 chapter 10: hot- socketing and power-on reset in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1 the por circuit of the cyclone iii device monitors the v ccint , v ccio (banks 1, 6, 7, and 8), and v cca pins during power-on. the enhanc ed por circuit of the cyclone iii ls device includes monitoring v ccbat to ensure that v ccbat is always at the minimum requirement voltage level. 1 the v ccbat power supply is the new design secu rity feature power supply introduced for cyclone iii ls devices only. cyclone iii devices do not have v ccbat power supply. after cyclone iii device family enters user mode, the por circuit continues to monitor the v ccint or v cca pins so that a brown-out co ndition during user mode is detected. if the v ccint or v cca voltage sags below the por trip point during user mode, the por circuit resets the device. if the v ccio voltage sags during user mode, the por circuit does not reset the device. in some applications, it is necessary for a device to wake up very quickly to begin operation. cyclone iii device family offe rs the fast-on feature to support fast wake-up time applications. for cyclone iii device family, the msel[3..0] pin settings determine the por time (t por ) of the device. fast por ranges from 3 ms to 9 ms, while standard por ranges from 50 ms to 200 ms. if you cannot meet the maximum v cc ramp time requirement, use an external component to hold nconfig low until the power supplies have reached their minimum recommend operating levels. othe rwise, the device may not properly configure and enter user mode. f for more information about the msel[3..0] pin settings, refer to the configuration, design security, and remote system upgr ades in the cyclone iii device family chapter. f for more information about the v ccbat pin connection, refer to the cyclone iii device family pin connection guidelines . document revision history table 10?1 lists the revision history for this document. table 10?1. document revision history (part 1 of 2) date version changes july 2012 3.4 updated tolerance control circuit voltage level in the ?hot-socketing feature implementation? section. december 2011 3.3 updated ?por circuitry? on page 10?3. updated hyperlinks. minor text edits. december 2009 3.2 minor changes to the text. july 2009 3.1 made minor correction to the part number. june 2009 3.0 updated chapter part number. updated ?i/o pins remain tristated during power-up? on page 10?2. updated ?hot-socketing feature implementation? on page 10?3. updated ?por circuitry? on page 10?4.
chapter 10: hot-socketing and power-on re set in the cyclone iii device family 10?5 document revision history july 2012 altera corporation cyclone iii device handbook volume 1 october 2008 1.2 updated chapter to new template. added handnote to the ?cyclone iii hot-socketing specifications? section. july 2007 1.1 updated ?i/o pins remain tri-stated during power-up? section. updated figure 10?3. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release. table 10?1. document revision history (part 2 of 2) date version changes
10?6 chapter 10: hot- socketing and power-on reset in the cyclone iii device family document revision history cyclone iii device handbook july 2012 altera corporation volume 1
ciii51013-2.3 ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 december 2011 subscribe iso 9001:2008 registered 11. seu mitigation in the cyclone iii device family dedicated circuitry bu ilt into the cyclone ? iii device family (cyclone iii and cyclone iii ls devices) consists of a cyclic al redundancy check (crc) error detection feature that can optionally check for a si ngle-event upset (seu) continuously and automatically. in critical applications used in the fiel ds of avionics, telecommunications, system control, medical, and military applicat ions, it is important to be able to: confirm the accuracy of the configuration data stored in an fpga device alert the system to an occurr ence of a configuration error this chapter describes how to activate and use the error detection crc feature in user mode and describes how to recover from co nfiguration errors caused by crc error. using the crc error detection feature for cy clone iii device family does not impact fitting or performance. this chapter contains the following sections: ?error detection fundamentals? on page 11?1 ?configuration error detection? on page 11?2 ?user mode error detection? on page 11?2 ?automated seu detection? on page 11?3 ?crc_error pin? on page 11?3 ?table 11?2 lists the crc_error pin.? on page 11?4 ?error detection block? on page 11?4 ?error detection timing? on page 11?5 ?software support? on page 11?7 ?recovering from crc errors? on page 11?10 error detection fundamentals error detection determines if the data rece ived through an input device is corrupted during transmission. in validating the da ta, the transmitter uses a function to calculate a checksum value for the data an d appends the checksum to the original data frame. the receiver uses the same calculation methodology to generate a checksum for the received data frame and compares the received checksum to the transmitted checksum. if the two checksum values are equal, the received data frame is correct and no data corruption has o ccurred during transmission or storage. december 2011 ciii51013-2.3
11?2 chapter 11: seu mitigation in the cyclone iii device family configuration error detection cyclone iii device handbook december 2011 altera corporation volume 1 the error detection crc feature in cyclone iii device family puts theory into practice. in user mode, the error detection crc featur e in cyclone iii device family ensures the integrity of the configuration data. configuration error detection in configuration mode, a frame-based crc is stored in the configuration data and contains the crc value for each data frame. during configuration, cyclone iii device fa mily calculates the cr c value based on the frame of data that is received and compares it against the frame crc value in the data stream. configuration continues until either the device detects an error or all the values are calculated. for cyclone iii device family, the crc is computed by the quartus ? ii software and downloaded into the device as part of the configuration bit stream. these devices store the crc in the 32-bit storage register at the end of the configuration mode. user mode error detection soft errors are changes in a configuratio n random-access memory (cram) bit state due to an ionizing particle. cyclone iii de vice family has built-in error detection circuitry to detect data corruption by soft errors in the cram cells. this error detection capability continuous ly computes the crc of the configured cram bits based on the contents of the device and compares it with the pre-calculated crc value obtained at the end of the configuration. if the crcs match, there is no error in the current configuration cram bits. the process of error detection continues until the device is reset (by setting n config to low). the cyclone iii device family error detectio n feature does not check memory blocks and i/o buffers. these device memory blocks support parity bits that are used to check the contents of memory blocks for any error. the i/o buffers are not verified during error detection because the configur ation data uses flip-flops as storage elements that are more resistant to soft erro rs. similar flip-flops are used to store the pre-calculated crc and other error detection circuitry option bits. the error detection circuitry in cyclone iii device family uses a 32-bit crc ieee 802 standard and a 32-bit polynomial as the crc generator. therefore, a single 32-bit crc calculation is performed by the device. if a soft error does not occur, the resulting 32-bit signature value is 0x000000 , which results in a 0 on the output signal crc_error . if a soft error occurs in the device, the resulting signature value is non-zero and the crc_error output signal is 1 . you can inject a soft error by changing th e 32-bit crc storage register in the crc circuitry. after verifying the failure induce d, you can restore th e 32-bit crc value to the correct crc value using the same instruction and inserting the correct value. 1 be sure to read out the correct value be fore updating it with a known bad value.
chapter 11: seu mitigation in the cyclone iii device family 11?3 automated seu detection december 2011 altera corporation cyclone iii device handbook volume 1 in user mode, cyclone iii device family supports the change_edreg jtag instruction, which allows you to write to the 32-bit storage register. you can use jam ? stapl files ( .jam ) to automate the testing and verification process. this instruction can only be executed when the device is in user mode, and it is a powerful design feature that enables you to dynamically verify the crc functionality in-system without having to reconfigure the device. you can then switch to use the crc circuit to check for real errors induced by an seu. table 11?1 lists the change_edreg jtag instructions. 1 after the test completes, to clear the crc error and restore the original crc value, power cycle the device or perform the following procedure: 1. after the configuration completes, use jtag instruction change_edreg to shift out the correct precomputed crc value and load the wrong crc value to the crc storage register. the crc_error pin will be asserted and shows that a crc error is detected. 2. use jtag instruction change_edreg to shift in the correct precomputed crc value. the crc_error pin is deasserted and shows that the error detection crc circuitry is working. automated seu detection cyclone iii device family of fers on-chip circuitry for automated checking of seu detection. applications that require the device to operate error-free at high elevations or in close proximity to earth?s north or south pole require periodic checks to ensure continued data integrity. the error dete ction cyclic redundancy code feature controlled by the device and pin options dialog box in the quartus ii software uses a 32-bit crc circuit to ensure data reliabili ty and is one of the best options for mitigating seu. you can implement the error detection cr c feature with existing circuitry in cyclone iii device family, eliminating th e need for external logic. the crc is computed by the device duri ng configuration and checked against an automatically computed crc during normal operation. the crc_error pin reports a soft error when configuration cram data is corrupted, an d you must decide whether to reconfigure the fpga by strobing the n config pin low or ignore the error. crc_error pin a specific error detection pin, crc_error , is required to monitor the results of the error detection circuitry during user mode. table 11?1. change_edreg jtag instruction jtag instruction instruction code description change_edreg 00 0001 0101 this instruction connects the 32-bit crc storage register between tdi and tdo . any precomputed crc is loaded into the crc storage register to test the operation of the error detection crc circuitry at the crc_error pin.
11?4 chapter 11: seu mitigation in the cyclone iii device family error detection block cyclone iii device handbook december 2011 altera corporation volume 1 table 11?2 lists the crc_error pin. f for more information about the crc_error pin information for cyclone iii device family, refer to the cyclone iii pin-out files for altera devices page on the altera ? website. 1 wysiwyg is an optimization technique that performs optimization on vqm (verilog quartus mapping) netlist in the quartus ii software. error detection block table 11?3 lists the types of crc detection to check the configuration bits. this section focuses on the first type?the 32-bit crc when the device is in user mode. error detection registers there are two sets of 32-bit registers in the error detection circuitry that store the computed crc signature and pre-calculated crc value. a non-zero value on the signature register causes the crc_error pin to set high. table 11?2. crc_error pin description device crc_error pin type description cyclone iii dedicated output or open drain output (optional) by default, the quartus ii software sets the crc_error pin as a dedicated output. if the crc_error pin is used as a dedicated output, you must ensure that the v ccio of the bank in which the pin resides meets the input voltage specification of the system receiving the signal. optionally, you can set this pin to be an open-drain output by enabling the option in the quartus ii software from the error detection crc tab of the device and pin options dialog box. using the pin as an open-drain provides an advantage on the voltage leveling. to use this pin as open-drain, you can tie this pin to v ccio of bank 1 through a 10-k ? pull-resistor. alternatively, depending on the voltage input specification of the system receiving the signal, you can tie the pull-up resistor to a different pull-up voltage. cyclone iii ls open drain output to use the crc_error pin, you can either tie this pin to v ccio through a 10-k ?? pull-up resistor, or depending on input voltage specification of the system receiving the signal, you can tie this pin to a different pull-up voltage. table 11?3. types of crc detection to check the configuration bits first type of crc detection second type of crc detection cram error checking ability (32-bit crc) during user mode, for use by the crc_error pin. there is only one 32-bit crc value, and this value covers all the cram data. 16-bit crc embedded in every configuration data frame. during configuration, after a frame of data is loaded into the device, the pre-computed crc is shifted into the crc circuitry. simultaneously, the crc value for the data frame shifted-in is calculated. if the pre-computed crc and calculated crc values do not match, nstatus is set low. every data frame has a 16-bit crc. therefore, there are many 16-bit crc values for the whole configuration bit stream. every device has a different length of configuration data frame.
chapter 11: seu mitigation in the cyclone iii device family 11?5 error detection timing december 2011 altera corporation cyclone iii device handbook volume 1 figure 11?1 shows the block diagram of the error detection block and the two related 32-bit registers: the signature re gister and the storage register. table 11?4 lists the registers shown in figure 11?1 . error detection timing when the error detection crc feature is enabled through the quartus ii software, the device automatically activates the crc pr ocess upon entering user mode after configuration and initialization is complete. the crc_error pin is driven low until the error detection circuitry has detected a corrupted bit in the previous crc calculation. after the pin goes high, it remains high during the next crc calculation. this pin does not log the previous crc calculation. if the new crc calculation does not contain any corrupted bits, the crc_error pin is driven low. the error detection runs until the device is reset. the error detection circuitry runs off an inte rnal configuration osci llator with a divisor that sets the maximum frequency. figure 11?1. error detection block diagram control signals error detection state machine 32- b it storage register comp u te & compare crc 32- b it signat u re register 32 32 32 table 11?4. error detection registers register function 32-bit signature register this register contains the crc signature. the signature register contains the result of the user mode calculated crc value compared against th e pre-calculated crc value. if no errors are detected, the signature register is all zeros. a non-zero signature register indicates an error in the configuration cram contents. the crc_error signal is derived from the contents of this register. 32-bit storage register this register is loaded with the 32-bit pre-computed crc signature at the end of the configuration stage. the signature is then loaded into the 32-bit crc circuit (called the compute and compare crc block, as shown in figure 11?1 ) during user mode to calculate the crc error. this register forms a 32-bit scan chain during execution of the change_edreg jtag instruction. the change_edreg jtag instruction can change the content of the storage register. therefore, the functionality of the error detection crc circuitry is checked in-system by executing the instruction to inject an error during the operation. the operation of the device is not halted when issuing the change_edreg instruction.
11?6 chapter 11: seu mitigation in the cyclone iii device family error detection timing cyclone iii device handbook december 2011 altera corporation volume 1 table 11?5 lists the minimum and maximum error detection frequencies. you can set a lower clock frequency by specifying a division factor in the quartus ii software (for more information, refer to ?software support? on page 11?7 ). the divisor is a power of two (2), where n is between 0 and 8. the divisor ranges from one through 256. refer to equation 11?1 . crc calculation time depends on the device and the error detection clock frequency. table 11?6 lists the estimated time for each crc calculation with minimum and maximum clock frequencies for cyclone iii device family. table 11?5. minimum and maximum error detection frequencies device type error detection frequency maximum error detection frequency minimum error detection frequency valid divisors (2 ? ) cyclone iii device family 80 mhz/2 n 80 mhz 312.5 khz 0, 1, 2, 3, 4, 5, 6, 7, 8 equation 11?1. error detection frequency table 11?6. crc calculation time device minimum time (ms) (1) maximum time (s) (2) cyclone iii ep3c5 5 2.29 ep3c10 5 2.29 ep3c16 7 3.17 ep3c25 9 4.51 ep3c40 15 7.48 ep3c55 23 11.77 ep3c80 31 15.81 ep3c120 45 22.67 cyclone iii ls ep3cls70 42 21.24 ep3cls100 42 21.24 ep3cls150 79 40.27 ep3cls200 79 40.27 notes to table 11?6 : (1) the minimum time corresponds to the maximum error detection clock frequency an d may vary with different processes, voltages, an d temperatures (pvt). (2) the maximum time corresponds to th e minimum error detection clock freque ncy and may vary with different pvt. error detection frequency 80 mhz 2 n -------------------- - =
chapter 11: seu mitigation in the cyclone iii device family 11?7 software support december 2011 altera corporation cyclone iii device handbook volume 1 software support enabling the crc error detection feature in the quartus ii software generates the crc _ error output to the optional dual purpose crc _ error pin. to enable the error detection feature using crc, perform the following steps: 1. open the quartus ii software and load a project using cyclone iii device family. 2. on the assignments menu, click settings . the settings dialog box appears. 3. in the category list, select device . the device page appears. 4. click device and pin options , as shown in figure 11?2 . 5. in the device and pin options dialog box, click the error detection crc tab. 6. turn on enable error detection crc . 7. in the divide error check frequency by box, enter a valid divisor as documented in table 11?5 on page 11?6 . 1 the divisor value divides down the frequency of the configuration oscillator output clock. this output cl ock is used as the clock source for the error detection process. 8. click ok . figure 11?2. enabling the error detection crc feature in the quartus ii software
11?8 chapter 11: seu mitigation in the cyclone iii device family software support cyclone iii device handbook december 2011 altera corporation volume 1 1 for cyclone iii ls devices, the ? enable open drain on crc error pin? option is not available because the quartus ii software sets the crc_error pin for the cyclone iii ls device as open drain output by default. accessing error detection block through user logic the error detection circuit stores the co mputed 32-bit crc signature in a 32-bit register. this signature is read out by user logic from the core. the < device >_ crcblock primitive is a wysiwyg component used to establish the interface from user logic to the error detection circuit. the < device >_ crcblock primitive atom contains the input and output ports that must be included in the atom. to access the logic array, the < device >_ crcblock wysiwyg atom must be inserted into your design. figure 11?3 shows the error detection block diagram in fpga devices and shows the interface that the wysiwyg atom enables in your design. 1 the user logic is affected by the soft erro r failure, thus reading out the 32-bit crc signature through the regout should not be relied upon to detect a soft error. you should rely on the crc_error output signal itself, because this crc_error output signal cannot be affected by a soft error. to enable the _crcblock wysiwyg atom, you must name the atom for each cyclone iii device family accordingly. figure 11?3. error detection block diagram clock di v ider (1 to 256 factor) pre-comp u ted crc (sa v ed in the option register) crc comp u tation error detection logic sram bits crc_error (sho w n in bidir mode) v cc logic array clk shiftnld ldsrc regout crc_error 8 0mhz internal chip oscillator cyclecomplete
chapter 11: seu mitigation in the cyclone iii device family 11?9 software support december 2011 altera corporation cyclone iii device handbook volume 1 table 11?7 lists the name of the wysiwyg at om for cyclone iii device family. 1 to enable the cycloneiii_crcblock primitive in version 8.0 sp1 or earlier of the quartus ii software, turn on the error detection crc feature in the device and pins options dialog box. this is not required when you are using version 8.1 and later of the quartus ii software. example 11?1 shows an example of how to define the input and output ports of a wysiwyg atom in a cyclone iii ls device. table 11?8 lists the input and output ports that must be included in the atom. the input and output ports of the atoms for cycl one iii device family are similar, except for the cyclecomplete port which is for cyclone iii ls devices only. table 11?7. wysiwyg atoms device wysiwyg atom cyclone iii cycloneiii_crcblock cyclone iii ls cycloneiiils_crcblock example 11?1. error detection block diagram cycloneiiils_crcblock ( .clk(), .shiftnld(), .ldsrc(), .crcerror(), .regout(), .cyclecomplete(), ); table 11?8. crc block input and output ports (part 1 of 2) port input/output definition input unique identifier for the crc block, and represents any identifier name that is legal for the given description language (for example verilog hdl, vhdl, ahdl). this field is required. .clk ( input this signal designates the clock input of this cell. all operations of this cell are with respect to the rising edge of the clock. whether it is the loading of the data into the cell or data out of the cell, it always occurs on the rising edge. this port is required. .shiftnld () input this signal is an input into the error detection block. if shiftnld=1 , the data is shifted from the internal shift register to the regout at each rising edge of clk . if shiftnld=0 , the shift register parallel loads either the pre-calculated crc value or the update register contents depending on the ldsrc port input. this port is required.
11?10 chapter 11: seu mitigation in the cyclone iii device family recovering from crc errors cyclone iii device handbook december 2011 altera corporation volume 1 recovering from crc errors the system that the altera fpga resides in must control device reconfiguration. after detecting an error on the crc_error pin, strobing the n config low directs the system to perform the reconfiguration at a time when it is safe for the system to reconfigure the fpga. when the data bit is rewritten with the co rrect value by reconfiguring the device, the device functions correctly. while soft errors are uncommon in altera devi ces, certain high-reliability applications might require a design to account for these errors. document revision history table 11?9 lists the revision history for this document. .ldsrc () input this signal is an input into the error detection block. if ldsrc=0 , the pre-computed crc register is selected for loading into the 32-bit shift register at the rising edge of clk when shiftnld=0 . if ldsrc=1 , the signature register (result of the crc calculation) is selected for loading into the shift register at the rising edge of clk when shiftnld=0 . this port is ignored when shiftnld=1 . this port is required. .crcerror () output this signal is the output of the cell that is synchronized to the internal oscillator of the device (80-mhz internal oscillator) and not to the clk port. it asserts high if the error block detects that a sram bit has flipped and the internal crc computation has shown a difference with respect to the pre- computed value. this signal must be connected either to an output pin or a bidirectional pin. if it is connected to an output pin, you can only monitor the crc_error pin (the core cannot access this output). if the crc_error signal is used by core logic to read error detection logic, this signal must be connected to a bidir pin. the signal is fed to the core indirectly by feeding a bidir pin that has its output enable port connected to vcc ( figure 11?3 on page 11?8 ). .regout () output this signal is the output of the error detection shift register synchronized to the clk port, to be read by core logic. it shifts one bit at each cycle, so you should clock the clk signal 31 cycles to read out the 32 bits of the shift register. .cyclecomplete () output this signal is for cycloneiiils_crcblock only. this output signal is synchronized to the internal oscillator of the device (80-mhz internal oscillator), and not to the clk port. the signal asserts high for one clock cyclone every time an error detection cyclone completes. table 11?8. crc block input and output ports (part 2 of 2) port input/output definition table 11?9. document revision history (part 1 of 2) date version changes december 2011 2.3 updated ?user mode error detection? on page 11?2 . update hyperlinks. minor text edits. december 2009 2.2 minor changes to the text.
chapter 11: seu mitigation in th e cyclone iii device family 11?11 document revision history december 2011 altera corporation cyclone iii device handbook volume 1 july 2009 2.1 made minor correction to the part number. june 2009 2.0 updated chapter part number. updated ?introduction? on page 11?1. updated table 11?6 on page 11?6 and table 11?8 on page 11?9. updated figure 11?2 on page 11?7. updated ?accessing error detection block through user logic? on page 11?8. october 2008 1.3 added chapter ?accessing error detection block through user logic? to document. updated chapter to new template. may 2008 1.2 updated table 11-2. july 2007 1.1 added chapter toc to document. march 2007 1.0 initial release. table 11?9. document revision history (part 2 of 2) date version changes
11?12 chapter 11: seu mitigation in the cyclone iii device family document revision history cyclone iii device handbook december 2011 altera corporation volume 1
ciii51014-2.3 ? 2011 altera corporation. all rights reserved. altera, arria, cyclone, hardcopy, max, megaco re, nios, quartus and stratix word s and logos are trademarks of altera corporat ion and registered in the u.s. patent and trademark office and in other countries. all other w ords and logos identified as trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html . altera warrants performance of its semiconductor products to current specifications in accordance wi th altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. altera assumes no responsibility or liability ar ising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by altera. altera customer s are advised to obtain the latest version of device specificat ions before relying on any published information and before placing orders for products or services. cyclone iii device handbook volume 1 december 2011 subscribe iso 9001:2008 registered 12. ieee 1149.1 (jtag) boundary-scan testing for the cyclone iii device family this chapter provides guidelines on using the ieee std. 1149.1 boundary-scan test (bst) circuitry in cyclone ? iii device family (cyclone i ii and cyclone iii ls devices). bst architecture tests pin connections without using physical test probes, and captures functional data while a device is operating normally. boundary-scan cells (bscs) in a device can force signals onto pi ns or capture data from pin or logic array signals. forced test data is serially shifted into the boundary-scan cells. captured data is serially shifted out and external ly compared to expected results. this chapter contains the following sections: ?ieee std. 1149.1 bst architecture? on page 12?1 ?ieee std. 1149.1 bst operation control? on page 12?2 ?i/o voltage support in a jtag chain? on page 12?5 ?guidelines for ieee std. 1149.1 bst? on page 12?6 ?boundary-scan description language support? on page 12?7 ieee std. 1149.1 bst architecture cyclone iii device family operating in th e ieee std. 1149.1 bst mode use four required pins: tdi tdo tms tck the tck pin has an internal weak pull-down resistor, while the tdi and tms pins have weak internal pull-up resistors. the tdo output pin and all the jtag input pins are powered by the v ccio supply of bank 1a. all user i/o pins are tri-stated during jtag configuration. 1 for recommendations on how to connect a jt ag chain with multiple voltages across the devices in the chain, refer to ?i/o voltage support in a jtag chain? on page 12?5 . f for more information about the descriptio n and functionality of all jtag pins, registers used by the ieee std. 1149.1 bst circuitry, and the test access port (tap) controller, refer to an39: ieee 1149.1 (jtag) boundary -scan testing in altera devices . december 2011 ciii51014-2.3
12?2 chapter 12: ieee 1149.1 (jta g) boundary-scan te sting for the cyclone iii device family ieee std. 1149.1 bst operation control cyclone iii device handbook december 2011 altera corporation volume 1 ieee std. 1149.1 bst operation control table 12?1 lists the boundary-scan register leng th for devices in cyclone iii device family. table 12?2 lists the idcode information for devices in cyclone iii device family. table 12?1. boundary-scan register length for cyclone iii device family family device boundary-scan register length cyclone iii ep3c5 603 ep3c10 603 ep3c16 1,080 ep3c25 732 ep3c40 1,632 ep3c55 1,164 ep3c80 1,314 ep3c120 1,620 cyclone iii ls ep3cls70 1,314 ep3cls100 1,314 ep3cls150 1,314 ep3cls200 1,314 table 12?2. device idcode for cyclone iii device family family device idcode (32 bits) (1) version (4 bits) part number (16 bits) manufacturer identity (11 bits) lsb (1 bit) (2) cyclone iii ep3c5 0000 0010 0000 1111 0001 000 0110 1110 1 ep3c10 0000 0010 0000 1111 0001 000 0110 1110 1 ep3c16 0000 0010 0000 1111 0010 000 0110 1110 1 ep3c25 0000 0010 0000 1111 0011 000 0110 1110 1 ep3c40 0000 0010 0000 1111 0100 000 0110 1110 1 ep3c55 0000 0010 0000 1111 0101 000 0110 1110 1 ep3c80 0000 0010 0000 1111 0110 000 0110 1110 1 ep3c120 0000 0010 0000 1111 0111 000 0110 1110 1 cyclone iii ls ep3cls70 0000 0010 0111 0000 0001 000 0110 1110 1 ep3cls100 0000 0010 0111 0000 0000 000 0110 1110 1 ep3cls150 0000 0010 0111 0000 0011 000 0110 1110 1 ep3cls200 0000 0010 0111 0000 0010 000 0110 1110 1 notes to table 12?2 : (1) the msb is on the left. (2) the lsb of the idcode is always 1.
chapter 12: ieee 1149.1 (jtag) boundary-scan testin g for the cyclone iii device family 12?3 ieee std. 1149.1 bst operation control december 2011 altera corporation cyclone iii device handbook volume 1 cyclone iii device family support s the ieee std. 1149.1 (jtag) instructions as listed in table 12?3 . table 12?3. ieee std. 1149.1 (jtag) instructions supported by cyclone iii device family (part 1 of 2) jtag instruction instruction code description sample/preload 00 0000 0101 allows a snapshot of signals at the device pins to be captured and examined during normal device operation, and permits an initial data pattern to be output at the device pins. also used by the signaltap ? ii embedded logic analyzer. extest (1) 00 0000 1111 allows the external circuitry and board-level interconnects to be tested by forcing a test pattern at the output pins and capturing test results at the input pins. bypass 11 1111 1111 places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation. usercode 00 0000 0111 selects the 32-bit usercode register and places it between the tdi and tdo pins, allowing the usercode to be serially shifted out of tdo . idcode 00 000 0110 selects the idcode register and places it between tdi and tdo , allowing the idcode to be serially shifted out of tdo . idcode is the default instruction at power up and in tap reset state. highz 00 0000 1011 places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation, while tri-stating all of the i/o pins. clamp 00 0000 1010 places the 1-bit bypass register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation while holding i/o pins to a state defined by the data in the boundary scan register. icr instructions ? used when configuring cyclone iii device family using the jtag port with a usb-blaster ? byteblaster ? ii, masterblaster ? or byteblastermv ? download cable, or when using a jam file, or jbc file via an embedded processor. pulse_nconfig 00 0000 0001 emulates pulsing the nconfig pin low to trigger reconfiguration even though the physical pin is unaffected. config_io (2) 00 0000 1101 allows i/o reconfiguration through jtag ports using the iocsr for jtag testing. this is executed after or during configurations. nstatus pin must go high before you can issue the config_io instruction. en_active_clk (2) 01 1110 1110 allows clkusr pin signal to replace the internal oscillator as the configuration clock source. dis_active_clk (2) 10 1110 1110 allows you to revert the configuration clock source from clkusr pin signal set by en_active_clk back to the internal oscillator. active_disengage (2) 10 1101 0000 places the active configuration mode controllers into idle state prior to config_io to configure the iocsr or perform board level testing. active_engage (2) 10 1011 0000 this instruction might be used in as and ap configuration schemes to re-engage the active controller. apfc_boot_addr (2) , (3) 10 0111 0000 places the 22-bit active boot address register between the tdi and tdo pins, allowing a new active boot address to be serially shifted into tdi and into the active parallel (ap) flash controller. in remote system upgrade, the pfc_boot_addr instruction sets the boot address for the factory configuration.
12?4 chapter 12: ieee 1149.1 (jta g) boundary-scan te sting for the cyclone iii device family ieee std. 1149.1 bst operation control cyclone iii device handbook december 2011 altera corporation volume 1 the ieee std. 1149.1 bst circuitry is enabled upon device power-up. you can perform bst on cyclone iii device family before, af ter, and during configuration. cyclone iii device family supports the bypass , idcode and sample instructions during configuration without interrup ting configuration. to send all other jtag instructions, interrupt the configuration using the config_io instruction except for active configuration schemes in which the active_disengage instruction is used instead. the config_io instruction allows you to configure i/o buffers via the jtag port, and when issued, interrupts configuration. this instruction allows you to perform board- level testing prior to configuring cyclone iii device family. alternatively, you can wait for the configuration device to complete configuration. after configuration is interrupted and jtag bst is complete, you must reconfigure the part via jtag ( pulse_nconfig instruction) or by pulsing nconfig low. 1 when you perform jtag boundary-scan testing before configuration, the nconfig pin must be held low. f for more information about the following topics, refer to an39: ieee 1149.1 (jtag) boundary-scan testing in altera devices : tap controller state-machine timing requirements for ieee std. 1149.1 signals instruction mode mandatory jtag instructions ( sample / preload , extest and bypass ) optional jtag instructions ( idcode , usercode , clamp and highz ) the following information is only applicable to cyclone iii ls devices: factory (4) 10 1000 0001 enables access to all other jtag instructions (other than bypass , sample/preload and extest instructions, which are supported upon power up). this instruction also clears the device configuration data and advanced encryption standard (aes) volatile key. key_prog_vol (4) 01 1010 1101 used to enter and store the security key into volatile registers. when this instruction is executed, tdi is connected to a 512-bit volatile key scan chain. tdo is not connected to the end of this scan chain. key_clr_vreg (4) 00 0010 1001 clears the volatile verify register which signifies the validity of the volatile keys stored in the registers. you must clear the volatile verify register by issuing this command whenever you attempt to program a new volatile key. this instruction must be asserted for at least 10 tck cycles. notes to table 12?3 : (1) bus hold and weak pull-up resistor featur es override the high -impedance state of highz , clamp , and extest . (2) for more information about how to use config_io , en_active_clk , dis_active_clk , active_disengage , active_engage and apfc_boot_addr instructions for cyclone iii device family, refer to the configuration, design security, and remote system upgrades in cyclone iii devices chapter. (3) apfc_boot_addr instruction is no t supported in cyclone iii ls devices. (4) for cyclone iii ls devices only. for more information about how to program the securi ty key into the volatile registers, ref er to the configuration, design security, and remo te system upgrades in cyclone iii devices chapter. table 12?3. ieee std. 1149.1 (jtag) instructions supported by cyclone iii device family (part 2 of 2) jtag instruction instruction code description
chapter 12: ieee 1149.1 (jtag) boundary-scan testin g for the cyclone iii device family 12?5 i/o voltage support in a jtag chain december 2011 altera corporation cyclone iii device handbook volume 1 only the three mandatory jtag 1149.1 jtag instructions ( bypass , sample/preload , extest ) and the factory private instruction are supported from the jtag pins upon power up. the factory instruction (instruction code: 10 1000 0001 ) must be issued before the de vice starts loading the core configuration data to enable access to all other jtag instructions. this instruction also clears the device configur ation data and aes volatile key. idcode instruction is not supported upon power-up, prior to issuing the factory instruction. however, it is the default inst ruction when the tap controller is in the reset state. without loading any instructions, you can go to the shift_dr state and shift out the jtag device id. idcode , config_io , active_disengage , highz , clamp , usercode and pulse_nconfig instructions are supported, provided that the factory instruction is executed. i/o voltage support in a jtag chain a jtag chain can contain several different de vices. however, you mu st be cautious if the chain contains devices that have different v ccio levels. the output voltage level of the tdo pin must meet the specifications of the tdi pin it drives. for cyclone iii device family, the tdo pin is powered by the v ccio power supply. because the v ccio supply is 3.3 v, the tdo pin drives out 3.3 v. devices can interface with each other although they might have different v ccio levels. for example, a device with a 3.3-v tdo pin can drive to a device with a 5.0-v tdi pin because 3.3 v meets the minimum ttl-level v ih for the 5.0-v tdi pin. jtag pins on cyclone iii device family can support the input levels of v ccio of bank 1a. 1 for multiple devices in a jtag chain with 3.0-v or 3.3-v i/o standard, you must connect a 25- ? series resistor on a tdo pin driving a tdi pin. you can also interface the tdi and tdo lines of the devices that have different v ccio levels by inserting a level shifter between the devices. if possible, the jtag chain must be built in such a way that a device with a higher v ccio level drives to a device with an equal or lower v ccio level. this way, a level shifte r may be required only to shift the tdo level to a level acceptable to the jtag tester.
12?6 chapter 12: ieee 1149.1 (jta g) boundary-scan te sting for the cyclone iii device family guidelines for ieee std. 1149.1 bst cyclone iii device handbook december 2011 altera corporation volume 1 figure 12?1 shows the jtag chain of mixed voltages and how a level shifter is inserted in the chain. guidelines for ieee std. 1149.1 bst use the following guidelines when performing bst with ieee std. 1149.1 devices: if the 10 bit checkerboard pattern (101010101 0) does not shift out of the instruction register via the tdo pin during the first clock cycle of the shift_ir state, the tap controller did not reach the proper state. to solve this problem, try one of the following procedures: verify that the tap controller has reached the shift_ir state correctly. to advance the tap controller to the shift_ir state, return to the reset state and send the code 01100 to the tms pin. check the connections to the vcc , gnd , jtag , and dedicated configuration pins on the device. perform a sample/preload test cycle prior to the first extest test cycle to ensure that known data is present at the device pins when you enter the extest mode. if the oej update register contains a 0, the data in the outj update register is driven out. the state must be known and correct to avoid contention with other devices in the system. do not perform extest testing during icr. this instruction is supported before or after icr, but not during icr. use the config_io instruction to interrupt configuration and then perform testing, or wait for configuration to complete. if testing is performed befo re configuration, hold the nconfig pin low. figure 12?1. jtag chain of mixed voltages tester 3.3 v v ccio 2.5 v v ccio 1.5 v v ccio 1.8 v v ccio le v el shifter shift tdo to level accepted by tester if necessary must be 1.8 v tolerant must be 2.5 v tolerant must be 3.3 v tolerant tdi tdo
chapter 12: ieee 1149.1 (jtag) boundary-scan testin g for the cyclone iii device family 12?7 boundary-scan description language support december 2011 altera corporation cyclone iii device handbook volume 1 c you must not invoke the following private instructions at any instance because these instructions can potentially damage the device, rendering the device useless: 1000010000 1001000000 1011100000 boundary-scan descript ion language support the boundary-scan description language (bsdl), a subset of vhdl, provides a syntax that allows you to describe the fe atures of an ieee std. 1149.1 bst-capable device that can be tested. to download bsdl files for ieee std. 1149.1-compliant cyclone iii device family, visit the altera download center . 1 bsdl files for ieee std. 1149.1-compliant cyclone iii ls devices can also be generated using version 9.0 and later of the quartus ii software. to perform bst on a configured device, a post configuration bsdl file that is customized to your design is required. post configuration bsdl file generation with bsdl customizer script (available on the altera download center ) is for cyclone iii devices only. use version 9.0 and later of the quartus ii software to create a post configuration bsdl file for cyclone iii ls devices. f for information on the procedures to generate the generic and post configuration bsdl files with quartus ii software, visit the altera download center . document revision history table 12?4 lists the revision history for this document. table 12?4. document revision history (part 1 of 2) date version changes december 2011 2.3 updated ?ieee std. 1149.1 bst architecture? on page 12?1 and ?i/o voltage support in a jtag chain? on page 12?5 . minor text edits. december 2009 2.2 minor changes to the text. july 2009 2.1 made minor correction to the part number. june 2009 2.0 updated ?introduction? on page 12?1, ?ieee std. 1149.1 bst architecture? on page 12?1, ?ieee std. 1149.1 bst operation control? on page 12?2, ?guidelines for ieee std. 1149.1 bst? on page 12?6, and ?boundary-s can description language support? on page 12?7. updated table 12?1 on page 12?2, table 12?2 on page 12?2, and table 12?3 on page 12?3. october 2008 1.3 updated chapter to new template. may 2008 1.2 minor textual changes.
12?8 chapter 12: ieee 1149.1 (jta g) boundary-scan te sting for the cyclone iii device family document revision history cyclone iii device handbook december 2011 altera corporation volume 1 july 2007 1.1 updated ?ieee std.1149.1 boundary-scan register? section. updated idcode information and removed signaltap ii instructions in table 12-4. updated ?bst for configured devices? section. added a guideline to ?guidelines for ieee std. 1149.1 boundary-scan testing? section. added chapter toc and ?referenced documents? section. march 2007 1.0 initial release. table 12?4. document revision history (part 2 of 2) date version changes
august 2012 altera corporation cyclone iii device handbook volume 1 additional information this chapter provides additional info rmation about the document and altera. how to contact altera to locate the most up-to-date informat ion about altera products, refer to the following table. typographic conventions the following table shows the typographic conventions this document uses. contact (1) contact method address technical support website www.altera.com/support technical training website www.altera.com/training email custrain@altera.com product literature website www.altera.com/literature nontechnical support (general) email nacomp@altera.com (software licensing) email authorization@altera.com note to table: (1) you can also contact yo ur local altera sales office or sales representative. visual cue meaning bold type with initial capital letters indicate command names, dialog box titles, dialog box options, and other gui labels. for example, save as dialog box. for gui elements, capitalization matches the gui. bold type indicates directory names, project names, di sk drive names, file names, file name extensions, software utility names, and gui labels. for example, \qdesigns directory, d: drive, and chiptrip.gdf file. italic type with initial capital letters indicate document titles. for example, stratix iv design guidelines . italic type indicates variables. for example, n + 1. variable names are enclosed in angle brackets (< >). for example, and .pof file. initial capital letters indicate keyboard keys and menu names. for example, the delete key and the options menu. ?subheading title? quotation marks indicate references to sections in a document and titles of quartus ii help topics. for example, ?typographic conventions.?
info?2 additional information typographic conventions cyclone iii device handbook august 2012 altera corporation volume 1 courier type indicates signal, port, register, bit, block, and primitive names. for example, data1 , tdi , and input . the suffix n denotes an active-low signal. for example, resetn . indicates command line commands and anything that must be typed exactly as it appears. for example, c:\qdesigns\tutorial\chiptrip.gdf . also indicates sections of an actual file, such as a report file, references to parts of files (for example, the ahdl keyword subdesign ), and logic function names (for example, tri ). r an angled arrow instructs you to press the enter key. 1., 2., 3., and a., b., c., and so on numbered steps indicate a list of items when the sequence of the items is important, such as the steps listed in a procedure. bullets indicate a list of items when the sequence of the items is not important. 1 the hand points to information that requires special attention. h the question mark directs you to a software help system with related information. f the feet direct you to another document or website with related information. m the multimedia icon directs you to a related multimedia presentation. c a caution calls attention to a condition or possible situation that can damage or destroy the product or your work. w a warning calls attention to a condition or possible situation that can cause you injury. the envelope links to the email subscription management center page of the altera website, where you can sign up to receive update notifications for altera documents. visual cue meaning


▲Up To Search▲   

 
Price & Availability of EP3C120F484C7

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X